當(dāng)前位置:首頁(yè) > 公眾號(hào)精選 > FPGA之旅
[導(dǎo)讀]這是FPGA之旅設(shè)計(jì)的第五例啦!今天給大家?guī)?lái)的是IIC通信,IIC協(xié)議應(yīng)用非常廣泛,例如與MPU6050進(jìn)行通信,配置OV5640攝像頭、驅(qū)動(dòng)OLED屏幕等等,都需要使用到IIC協(xié)議,所以掌握它是非常必要的,廢話不多說(shuō),接著往下看。文末獲取完整代碼。



一. 簡(jiǎn)介

這是FPGA之旅設(shè)計(jì)的第五例啦!今天給大家?guī)?lái)的是IIC通信,IIC協(xié)議應(yīng)用非常廣泛,例如與MPU6050進(jìn)行通信,配置OV5640攝像頭、驅(qū)動(dòng)OLED屏幕等等,都需要使用到IIC協(xié)議,所以掌握它是非常必要的,廢話不多說(shuō),接著往下看。文末獲取完整代碼。




二. IIC簡(jiǎn)介

IIC協(xié)議分為主機(jī)和從機(jī),所有的請(qǐng)求都是由主機(jī)發(fā)出,從機(jī)進(jìn)行響應(yīng),從機(jī)是沒(méi)有辦法對(duì)主機(jī)進(jìn)行讀或?qū)懙?。IIC協(xié)議共有兩根線,數(shù)據(jù)線SDA和時(shí)鐘線SCL,兩根線就可以完成所有的通信請(qǐng)求,簡(jiǎn)直是太給力了。




三. IIC協(xié)議

終于到了IIC協(xié)議的部分。IIC協(xié)議簡(jiǎn)單來(lái)說(shuō),共有五種狀態(tài),這五種狀態(tài)的有序組合就組成了完整的IIC通信,學(xué)習(xí)IIC協(xié)議,就是學(xué)習(xí)這五種狀態(tài)。

  • 空閑態(tài):  SCL 和 SDA 都為高電平,不進(jìn)行通信的時(shí)候。

  • 起始態(tài):在SCL為高電平的時(shí)候,將SDA拉低,主機(jī)通知從機(jī),開(kāi)始進(jìn)行通信。

  • 數(shù)據(jù)傳輸態(tài):數(shù)據(jù)傳輸態(tài),又可以分為讀和寫(xiě)兩個(gè)部分,過(guò)程都是一樣的,就合在一起了,都是在SCL為低電平的時(shí)候,SDA將數(shù)據(jù)發(fā)送,在SCL為高電平的時(shí)候,將數(shù)據(jù)接收。

  • (非)應(yīng)答態(tài):數(shù)據(jù)傳輸態(tài)完成后,必須接一個(gè)應(yīng)答態(tài)或者非應(yīng)答態(tài),為了確定對(duì)方接收到了數(shù)據(jù)。在SCL為高電平的時(shí)候,檢測(cè)到SDA為低電平,則為應(yīng)答,否則為非應(yīng)答。

  • 停止態(tài):一次數(shù)據(jù)傳輸完成,由主機(jī)發(fā)起,在SCL為高電平的時(shí)候,SDA由低電平變成高電平。

了解了這五種狀態(tài)后,接下來(lái)就要學(xué)習(xí)如何使用這五種狀態(tài)來(lái)進(jìn)行讀寫(xiě)操作了。


(一)  IIC寫(xiě)操作

下面就是一個(gè)完整的寫(xiě)操作,共包含三次數(shù)據(jù)傳輸態(tài),第一次發(fā)送的是從機(jī)地址 + 0,第二次發(fā)送的是寄存器的地址,第三次寫(xiě)的是數(shù)據(jù),寫(xiě)入寄存器中的數(shù)據(jù)。從機(jī)地址一般為7bit,與另外一bit共同組成8bit,0表示寫(xiě),1表示讀。

(二)IIC讀操作

讀操作要比寫(xiě)操作復(fù)雜一點(diǎn),需要的狀態(tài)多一些。一共有五個(gè)數(shù)據(jù)傳輸態(tài),狀態(tài)圖如下了。

上面的流程圖都是對(duì)從機(jī)的地址為7位以及從機(jī)的寄存器地址為8位的操作。




四. Verilog代碼實(shí)現(xiàn)

有了上面的各個(gè)狀態(tài)中,SDA和SCL的變換關(guān)系,以及讀寫(xiě)的序列,就可以很方便的來(lái)寫(xiě)程序啦。

1. 首先,當(dāng)然離不開(kāi)狀態(tài)機(jī),根據(jù)上面敘述的五種狀態(tài),編寫(xiě)狀態(tài)機(jī),狀態(tài)機(jī)中,將數(shù)據(jù)傳輸態(tài)分成了讀和寫(xiě)兩種狀態(tài)。有了各個(gè)狀態(tài),操作SDA和SCL兩根線不是易如反掌嘛

/*IIC 狀態(tài)*/localparam IIC_IDLE       = 6'b000_001; /*空閑態(tài)*/localparam IIC_START      = 6'b000_010; /*起始態(tài)*/localparam IIC_WRDATA     = 6'b000_100; /*寫(xiě)數(shù)據(jù)態(tài)*/localparam IIC_RDDATA     = 6'b001_000; /*讀數(shù)據(jù)態(tài)*/localparam IIC_ACK        = 6'b010_000; /*應(yīng)答態(tài)*/localparam IIC_STOP       = 6'b100_000; /*停止態(tài)*/


2. 狀態(tài)機(jī)的跳轉(zhuǎn)條件如下,跳轉(zhuǎn)條件和上面敘述的一樣。單獨(dú)看這個(gè)有點(diǎn)難懂,有些變量不明白其具體含義,可以結(jié)和仿真圖形和完整代碼進(jìn)行理解。

/*狀態(tài)機(jī)*/always @(*)begin case(state) IIC_IDLE:  if(IICWriteReq == 1'b1 || IICReadReq == 1'b1) next_state <= IIC_START; else next_state <= IIC_IDLE; IIC_START: if(IICCnt == (IIC_Pre * 'd2)) next_state <= IIC_WRDATA; else next_state <= IIC_START; IIC_WRDATA: if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0) next_state <= IIC_ACK; else next_state <= IIC_WRDATA; IIC_RDDATA: if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0) next_state <= IIC_ACK; else next_state <= IIC_RDDATA; IIC_ACK: if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0) if(IICSendBytes == 'd3)  if(IICWriteReq == 1'b1)         /*三個(gè)字節(jié)發(fā)送完成,進(jìn)入停止態(tài)*/ next_state <= IIC_STOP; else  next_state <= IIC_RDDATA; else if(IICSendBytes == 'd2 && IICReadReq == 1'b1) next_state <= IIC_START; else if(IICSendBytes == 'd4) next_state <= IIC_STOP; else next_state <= IIC_WRDATA; else next_state <= IIC_ACK; IIC_STOP: if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre/4 && iicCLK == 1'b1) next_state <= IIC_IDLE; else next_state <= IIC_STOP; default: next_state <= IIC_IDLE; endcaseend

各個(gè)部分實(shí)現(xiàn)的詳細(xì)代碼,就不列舉出來(lái)啦,代碼總計(jì)280多行,也不算多。通過(guò)本IIC模塊,可以驅(qū)動(dòng)OV5640攝像頭,MPU6050模塊和0.96寸OLED屏幕等等,后續(xù)會(huì)基于此模塊,來(lái)驅(qū)動(dòng)這些外設(shè)。




五. testbeach編寫(xiě)

還是按照流程走,編寫(xiě)完模塊后,進(jìn)行一下仿真,還真有錯(cuò)誤,幸虧仿真了,哈哈哈。

`timescale 1ns/1ps module testbench();  reg clk; reg rst; wire SDA; wire SCL; reg IICWriteReq; reg IICReadReq; wire IICWriteDone; wire IICReadDone; always # 50 clk = ~clk; initial begin clk = 1'b1; rst = 1'b1;  IICWriteReq = 1'b0; IICReadReq = 1'b1; #100   /*手動(dòng)復(fù)位*/ rst = 1'b0; #100 rst = 1'b1; end  always@(posedge clk) if(IICReadDone == 1'b1)   /*讀完成后,readReq為0,只進(jìn)行一次讀寫(xiě)操作*/ IICReadReq <= 1'b0; else IICReadReq <= IICReadReq; IIC_Driver IIC_DriverHP( .sys_clk (clk),           /*系統(tǒng)時(shí)鐘*/ .rst_n (rst),             /*系統(tǒng)復(fù)位*/  .IICSCL (SCL),            /*IIC 時(shí)鐘輸出*/ .IICSDA (SDA),             /*IIC 數(shù)據(jù)線*/  .IICSlave ('h1234),  .IICWriteReq (IICWriteReq),       /*IIC寫(xiě)寄存器請(qǐng)求*/ .IICWriteDone (IICWriteDone),      /*IIC寫(xiě)寄存器完成*/ .IICWriteData ('h5a), /*IIC發(fā)送數(shù)據(jù) 8bit的從機(jī)地址 + 8bit的寄存器地址 + 8bit的數(shù)據(jù)(讀忽略,后默認(rèn)為0)*/  .IICReadReq (IICReadReq),        /*IIC讀寄存器請(qǐng)求*/ .IICReadDone (IICReadDone),       /*IIC讀寄存器完成*/ .IICReadData ()/*IIC讀取數(shù)據(jù)*/); endmodule
本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉