奇數(shù)分頻器如何設(shè)計(jì)?代碼如何實(shí)現(xiàn)?
在這篇文章中,小編將對奇數(shù)分頻器的技術(shù)設(shè)計(jì)和代碼實(shí)現(xiàn)加以介紹以幫助大家增進(jìn)對它的了解程度,和小編一起來閱讀以下內(nèi)容吧。
一、奇數(shù)分頻器技術(shù)設(shè)計(jì) & 代碼實(shí)現(xiàn)
1、設(shè)計(jì)方法
3分頻時(shí)鐘設(shè)計(jì),只需要在上升沿時(shí)循環(huán)計(jì)數(shù)0~2,上升沿計(jì)數(shù)時(shí)鐘信號和下降沿計(jì)數(shù)時(shí)鐘信號,都是前1個(gè)周期為低電平,后2個(gè)周期為高電平;
5分頻時(shí)鐘設(shè)計(jì),只需要在上升沿時(shí)循環(huán)計(jì)數(shù)0~4,上升沿計(jì)數(shù)時(shí)鐘信號和下降沿計(jì)數(shù)時(shí)鐘信號,都是前2個(gè)周期為低電平,后3個(gè)周期為高電平;
7分頻時(shí)鐘設(shè)計(jì),只需要在上升沿時(shí)循環(huán)計(jì)數(shù)0~6,上升沿計(jì)數(shù)時(shí)鐘信號和下降沿計(jì)數(shù)時(shí)鐘信號,都是前3個(gè)周期為低電平,后4個(gè)周期為高電平;
下圖分別畫出了基準(zhǔn)時(shí)鐘、3分頻時(shí)鐘、5分頻時(shí)鐘、7分頻時(shí)鐘。
由此可以推導(dǎo)出,奇數(shù)分頻設(shè)計(jì)的方法:設(shè)為2N+1分頻,只需設(shè)計(jì)一個(gè)計(jì)數(shù)器在上升沿時(shí)循環(huán)計(jì)數(shù)0~2N,上升沿計(jì)數(shù)時(shí)鐘信號和下降沿計(jì)數(shù)時(shí)鐘信號,都是前N個(gè)周期為低電平,后N+1個(gè)周期為高電平;
2、verilog代碼實(shí)現(xiàn)
任意偶數(shù)分頻設(shè)計(jì),可通過設(shè)置參數(shù)NUM,設(shè)定分頻數(shù),具體代碼如下所示:
module clk_divider_odd#(parameter NUM = 7)(input clk,input rst,output wire clk_o);//參數(shù)定義
localparam WIDTH = $clog2(NUM);
localparam CNT_END = NUM - 1;
localparam CNT_END0 = NUM/2 - 1;
reg [WIDTH-1:0] cnt;
reg clk_pos, clk_neg;//計(jì)數(shù)模塊
always@(posedge clk or posedge rst)
beginif(rst)cnt <= 'b0;
else if(cnt == CNT_END) //計(jì)滿則清零
cnt <= 'b0;elsecnt <= cnt + 1'b1;
end//分頻時(shí)鐘輸出模塊,時(shí)鐘上升沿
always@(posedge clk or posedge rst)
beginif(rst)clk_pos <= 1'b0;
else if(cnt == CNT_END0)clk_pos <= 1'b1;
else if(cnt == CNT_END )clk_pos <= 1'b0;
elseclk_pos <= clk_pos;
end//分頻時(shí)鐘輸出模塊,時(shí)鐘下降沿
always@(negedge clk or posedge rst)
beginif(rst)clk_neg <= 1'b0;
else if(cnt == CNT_END0)clk_neg <= 1'b1;
else if(cnt == CNT_END)clk_neg <= 1'b0;
elseclk_neg <= clk_neg;
end//輸出時(shí)鐘
assign clk_o = clk_pos && clk_neg;
endmodule仿真結(jié)果如下圖:
從圖中看出,輸出了7分頻時(shí)鐘信號,與預(yù)期一致。
二、分頻器技術(shù)參數(shù)
一般來說,分頻器包括三個(gè)基本參數(shù)。
第一個(gè),就是分頻器的分頻點(diǎn),這個(gè)應(yīng)該不用多說。
第二個(gè),就是所謂分頻器的“路”,也就是分頻器可以將輸入的原始信號分成幾個(gè)不同頻段的信號,我們通常說的二分頻、三分頻,就是分頻器的“路”。
第三個(gè),就是分頻器的“階”,也稱“類”。
一個(gè)無源分頻器,本質(zhì)上就是幾個(gè)高通和低通濾波電路的復(fù)合體,而這些濾波電路的數(shù)量,就是上面所說的“路”。但是在每一個(gè)濾波電路中,還有更精細(xì)的設(shè)計(jì),換句話說,在每一個(gè)濾波電路中,都可以分別經(jīng)過多次濾波,這個(gè)濾波的次數(shù),就是分頻器的“階”。
一階分頻器也是感容分頻的結(jié)構(gòu),而二階分頻器中的每一路都經(jīng)過了兩次濾波,這個(gè)“兩次濾波”才是“二階”的真正含義!
以上便是小編此次想要和大家共同分享的有關(guān)奇數(shù)分頻器的技術(shù)設(shè)計(jì)和代碼實(shí)現(xiàn)的內(nèi)容,如果你對本文內(nèi)容感到滿意,不妨持續(xù)關(guān)注我們網(wǎng)站喲。最后,十分感謝大家的閱讀,have a nice day!