當前位置:首頁 > 工業(yè)控制 > 工業(yè)控制
[導(dǎo)讀]在這篇文章中,小編將為大家?guī)鞦PGA三段式狀態(tài)機設(shè)計的相關(guān)內(nèi)容。如果你對本文即將要講解的內(nèi)容存在一定興趣,不妨繼續(xù)往下閱讀哦。

在這篇文章中,小編將為大家?guī)?a href="/tags/FPGA" target="_blank">FPGA三段式狀態(tài)機設(shè)計的相關(guān)內(nèi)容。如果你對本文即將要講解的內(nèi)容存在一定興趣,不妨繼續(xù)往下閱讀哦。

三段式狀態(tài)機使用3個always塊,其中一個組合always塊用于寫狀態(tài)機的狀態(tài)跳轉(zhuǎn)邏輯,一個時序always塊用于緩存狀態(tài)寄存器,另一個always塊用于寫當前狀態(tài)下的寄存器輸出邏輯。這種方式邏輯代碼清晰,易于調(diào)試和理解,也是比較推薦的一個方式。

三段式狀態(tài)機則通過在組合邏輯后再增加一級寄存器實現(xiàn)邏輯輸出:

· 一個always塊采用同步時序描述狀態(tài)轉(zhuǎn)移

· 一個always塊采用組合邏輯判斷轉(zhuǎn)移條件、轉(zhuǎn)移狀態(tài)規(guī)律

·一個always塊采用同步時序描述狀態(tài)的輸出

這種三段式狀態(tài)機的寫法代碼非常清晰,極大降低了編寫維護代碼的復(fù)雜度,最大程度清晰完整的顯示出狀態(tài)機的結(jié)構(gòu)。同時可以有效地濾除兩段式狀態(tài)機組合邏輯輸出可能產(chǎn)生的毛刺信號;另外對于總線形式的輸出來說,容易使總線數(shù)據(jù)對齊,從而減小總線數(shù)據(jù)間的偏移,減小接收端數(shù)據(jù)采樣出錯的頻率:但是三段式消耗的資源相對多一點,并且三段式從輸入到輸出比一段式和二段式會延時一個時鐘周期。

下面是本人實現(xiàn)的FPGA三段式狀態(tài)機的代碼,大家可以參考:

module state_machine (

input clk,

input rst_n,

input [1:0] inp,

output reg outp

);

// 定義狀態(tài)

localparam STATE_0 = 0,

STATE_1 = 1,

STATE_2 = 2,

STATE_3 = 3;

// 定義狀態(tài)寄存器和初始狀態(tài)

reg [1:0] state_r, next_state ;

// 定義狀態(tài)寄存器

always @(posedge clk or negedge rst_n) begin

if (~rst_n) begin

state_r <= STATE_0;

end else begin

state_r <= next_state;

end

end

// 定義狀態(tài)轉(zhuǎn)移邏輯

always @(*) begin

case (state_r)

STATE_0: begin

if (inp == 2'b00) begin

next_state = STATE_0;

end else if (inp == 2'b01) begin

next_state = STATE_1;

end else if (inp == 2'b10) begin

next_state = STATE_2;

end else begin

next_state = STATE_3;

end

end

STATE_1: begin

if (inp == 2'b00) begin

next_state = STATE_1;

end else if (inp == 2'b01) begin

next_state = STATE_2;

end else if (inp == 2'b10) begin

next_state = STATE_3;

end else begin

next_state = STATE_0;

end

end

STATE_2: begin

if (inp == 2'b00) begin

next_state = STATE_2;

end else if (inp == 2'b01) begin

next_state = STATE_3;

end else if (inp == 2'b10) begin

next_state = STATE_0;

end else begin

next_state = STATE_1;

end

end

STATE_3: begin

if (inp == 2'b00) begin

next_state = STATE_3;

end else if (inp == 2'b01) begin

next_state = STATE_0;

end else if (inp == 2'b10) begin

next_state = STATE_1;

end else begin

next_state = STATE_2;

end

end

endcase

end

// 定義輸出邏輯

always @(*) begin

case (state_r)

STATE_0: outp = 0;

STATE_1: outp = 1;

STATE_2: outp = 0;

STATE_3: outp = 1;

endcase

end

endmodule

注意:組合邏輯代碼中,if語句和case語句必須寫滿,否則容易形成latch,導(dǎo)致實際運行出問題。

以上就是小編這次想要和大家分享的有關(guān)FPGA三段式狀態(tài)機設(shè)計的內(nèi)容,希望大家對本次分享的內(nèi)容已經(jīng)具有一定的了解。如果您想要看不同類別的文章,可以在網(wǎng)頁頂部選擇相應(yīng)的頻道哦。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉