當前位置:首頁 > 模擬 > 模擬
[導(dǎo)讀]摘要:提出一種通過兩個二階節(jié)級聯(lián)構(gòu)成四階IIR數(shù)字橢圓濾波器的設(shè)計方法,并利用Matlab仿真軟件設(shè)計了通帶內(nèi)波紋不大于0.1 dB,阻帶衰減不小于42 dB的IIR數(shù)字濾波器。論述了一種采用可編程邏輯器件,通過VHDL硬件描

摘要:提出一種通過兩個二階節(jié)級聯(lián)構(gòu)成四階IIR數(shù)字橢圓濾波器的設(shè)計方法,并利用Matlab仿真軟件設(shè)計了通帶內(nèi)波紋不大于0.1 dB,阻帶衰減不小于42 dB的IIR數(shù)字濾波器。論述了一種采用可編程邏輯器件,通過VHDL硬件描述語言實現(xiàn)該濾波器的方法。給出了在QuartusⅡ軟件下的仿真結(jié)果,并在FPGA器件上驗證實現(xiàn)。實驗證明,這種方法是切實可行的。
關(guān)鍵詞:無限長單位脈沖響應(yīng)濾波器;Matlab;FPGA;VHDL

0 引言
    數(shù)字濾波器具有比模擬濾波器精度高、穩(wěn)定、體積小、重量輕、靈活、不要求阻抗匹配,以及能夠?qū)崿F(xiàn)模擬濾波器無法實現(xiàn)的特殊濾波功能等特點,因此數(shù)字濾波器被廣泛應(yīng)用于圖像處理和識別、語音處理和識別、通信、雷達、人工智能、核技術(shù)等多個領(lǐng)域。
    數(shù)字濾波器的實現(xiàn)方法很多,采用FPGA器件實現(xiàn)具有速度快、效率高、成本低、開發(fā)周期短等優(yōu)點,而且還可以直接使用Altera公司提供的FIR/IIR IP core或采用LPM的設(shè)計方法進行設(shè)計,使數(shù)字濾波器設(shè)計變得簡單、可靠。本系統(tǒng)通過一個實例說明如何通過Matlab設(shè)計并在FPGA器件上實現(xiàn)IIR橢圓函數(shù)濾波器。

1 IIR數(shù)字濾波器的Matlab設(shè)計
1.1 IIR數(shù)字濾波器設(shè)計要求
    本系統(tǒng)的設(shè)計指標如下:模擬信號采樣頻率為2 MHz,每周期最少采樣20點,即模擬信號的通帶邊緣頻率為fp=100 kHz,阻帶邊緣頻率fs=200 kHz,通帶波動Rp≤0.1 dB(通帶誤差不大于5%),阻帶衰減As≥42 dB。換算為數(shù)字域指標為:Wp=0.1π,Ws=0.2π,Rp=0.1 dB,As=42 dB。
1.2 IIR數(shù)字濾波器設(shè)計方案
    (1)根據(jù)設(shè)計要求確定濾波器數(shù)字域指標
    換算為數(shù)字域指標為:Wp=0.1π,Ws=0.2π,Rp=0.1 dB,As=42 dB。
    (2)采用Matlab軟件設(shè)計濾波器系統(tǒng)函數(shù)
    IIR濾波器系統(tǒng)函數(shù)是采用計算機輔助工程CAE工具進行設(shè)計的。系統(tǒng)函數(shù)H(z)的計算采用Matlab軟件設(shè)計比較方便,其中有兩個現(xiàn)成的函數(shù)可以使用:ellipord(Wp/pi,Ws/pi,Rp,As)函數(shù)用來計算數(shù)字橢圓濾波器的階次N和3 dB截止頻率Wn;ellip(N,Rp,As,Wn)函數(shù)可以求得直接型橢圓IIR濾波器的各個系數(shù)。
    根據(jù)要求,設(shè)計采用Matlab軟件實現(xiàn)IIR濾波器的源程序如下:
  
    利用Matlab軟件可以得到如下結(jié)果:
   
    這是一個四階IIR系統(tǒng),通過Matlab計算出該系統(tǒng)的頻率響應(yīng)如圖1所示,可見能滿足設(shè)計要求。


    (3)確定濾波器網(wǎng)絡(luò)結(jié)構(gòu)
    本設(shè)計如果采用直接型結(jié)構(gòu)實現(xiàn),則需用的乘法器和延遲單元相對較多,而且分子和分母的系數(shù)相差較大,需要較多的二進制位數(shù)才能實現(xiàn)相應(yīng)的精度要求。
    為了克服上述缺點,采用二階級聯(lián)實現(xiàn)。IIR濾波器采用級聯(lián)型的網(wǎng)絡(luò)結(jié)構(gòu)既可以對各基本節(jié)的零點、極點方便地單獨進行調(diào)整,又可以降低對二進制數(shù)位數(shù)的要求。
    這里采用Matlab中的“二階部分傳遞函數(shù)”tf2sos()完成IIR濾波器直接型網(wǎng)絡(luò)結(jié)構(gòu)到級聯(lián)型網(wǎng)絡(luò)結(jié)構(gòu)的轉(zhuǎn)換。
    將IIR濾波器由直接型變?yōu)榧壜?lián)型的Matlab語言源程序如下:

    可以看出,每個二階節(jié)的分子、分母系數(shù)差異減少了。值得注意的是,在分配二階節(jié)的增益時,要保證每個節(jié)不會發(fā)生運算溢出,可以先用Matlab軟件分析計算來合理安排各節(jié)的增益。經(jīng)過計算,本文采用第一級分配0.162 6,第二級分配0.065 8,可以保證在要求的輸入范圍,沒有數(shù)據(jù)溢出發(fā)生。
    (4)濾波器參數(shù)量化
    差分方程的量化后各系數(shù)如表1所示,這里采用10位定點純小數(shù)補碼表示。



2 IIR數(shù)字濾波器FPGA實現(xiàn)
2.1 總體設(shè)計方案
    根據(jù)上述參數(shù)計算可知,需要設(shè)計的IIR濾波器為2個二階節(jié)的系統(tǒng)級聯(lián)形式,其二階節(jié)系統(tǒng)函數(shù)的差分方程均為:
   
    可以看出,一個二階節(jié)的實現(xiàn),需要五次乘法運算、四次加法運算(采用二進制補碼將減法運算變?yōu)榧臃ㄟ\算),兩個二階節(jié)共需要10次乘法運算,所有乘法運算均為無符號數(shù)的乘法運算,所以使用時需要先將兩個補碼乘數(shù)轉(zhuǎn)換為無符號數(shù)相乘后,再將乘積轉(zhuǎn)換為補碼乘積輸出,送入累加器求和。根據(jù)以上設(shè)計思想可知,一個二階節(jié)系統(tǒng)均由控制模塊、移位模塊、求補模塊、乘法模塊和累加器模塊等模塊組成,其系統(tǒng)電路框圖如圖2所示。


    由于IIR濾波器的兩個節(jié)系統(tǒng)電路結(jié)構(gòu)完全相同,只是系數(shù)不同,故另一個二階節(jié)的設(shè)計與此類似。
2.2 主要功能模塊的設(shè)計
    控制模塊主要用來產(chǎn)生對其他模塊的時序控制。
    累加模塊的功能是將10位×1位乘法器的5個輸出數(shù)據(jù)在10個時鐘周期內(nèi)累加后,并將結(jié)果輸出。
    移位模塊主要完成數(shù)據(jù)交換功能,將輸入數(shù)據(jù)送入輸入寄存器x(n)中,同時將x(n)寄存器上一時刻的數(shù)據(jù)送入x(n-1)寄存器,同時又將x(n-1)寄存器上一時刻的數(shù)據(jù)送入x(n-2)寄存器。同理有y(n)→y(n-1)→y(n-2)。
    求補模塊主要根據(jù)每路乘法器2個輸入數(shù)據(jù)的補碼,判斷輸入數(shù)據(jù)的正負,設(shè)置該路乘積結(jié)果正負標志位,并對輸入的負數(shù)進行求補運算,保證乘積是在2個無符號數(shù)之間進行,再根據(jù)該路乘積結(jié)果正負標志位,對乘積結(jié)果進行求補運算,保證送入累加器的數(shù)據(jù)為補碼。
    將設(shè)計好的各模塊按照二階節(jié)系統(tǒng)電路框圖銜接,即可完成IIR濾波器其中一個二階節(jié)系統(tǒng)的設(shè)計。只要修改差分方程的系數(shù)就可完成另一個二階節(jié)系統(tǒng)的設(shè)計。
2.3 仿真結(jié)果
   各功能模塊設(shè)計、調(diào)測完成之后,按照總體設(shè)計方案完成IIR濾波器的實現(xiàn),并下載到Altera公司的EP1C6Q240C8器件上驗證,QuartusⅡ中的仿真結(jié)果如圖3所示。


    圖3中:xn為輸入信號,采用單極性方波周期信號;頻率為100 kHz,在采樣頻率為2 MHz時,每個周期采樣20個點,換算成數(shù)字域頻率為0.1π;二次諧波的數(shù)字頻率為0.2π;yn為濾波輸出。觀察仿真波形可知,所設(shè)計的IIR濾波器符合設(shè)計要求。為了更直觀地觀察IIR濾波器濾波后的輸出結(jié)果,可將仿真波形文件轉(zhuǎn)換為*.tbl文件,在Matlab中描繪波形。

3 結(jié)語
    數(shù)字濾波器的應(yīng)用十分廣泛,實現(xiàn)方法很多,運用Matlab語言,能很容易地設(shè)計出具有嚴格指標要求的數(shù)字濾波器。采用FPGA器件實現(xiàn)數(shù)字濾波器的方法,大大縮短了設(shè)計周期,降低了成本,提高了設(shè)計的可靠性、靈活性,為數(shù)字濾波器的設(shè)計與實現(xiàn)提供了一種有效的方法。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉