當(dāng)前位置:首頁(yè) > 工業(yè)控制 > 工業(yè)控制
[導(dǎo)讀]本設(shè)計(jì)中存儲(chǔ)的圖像數(shù)據(jù)所采用的像素位深為3 位,共可顯示8種顏色。在實(shí)際應(yīng)用中,可以使用更大的存儲(chǔ)器,最終實(shí)現(xiàn)256 色圖像,乃至真彩色圖像的顯示。在此設(shè)計(jì)基礎(chǔ)上,通過使用SDRAM 等外部存儲(chǔ)器,利用DMA 控制方式,并且配合Altera 的nios 嵌入式軟核CPU ,可以在SOPC 開發(fā)平臺(tái)上最終實(shí)現(xiàn)兼容SVGA ,TVGA 標(biāo)準(zhǔn)等的更復(fù)雜顯示控制器。

引言

  VGA(視頻圖形陣列)作為一種標(biāo)準(zhǔn)的顯示接口得到廣泛的應(yīng)用。利用FPGA 芯片和EDA 設(shè)計(jì)方法,可以因地制宜,根據(jù)用戶的特定需要,設(shè)計(jì)出針對(duì)性強(qiáng)的VGA 顯示控制器,不僅能夠大大降低成本,還可以滿足生產(chǎn)實(shí)踐中不斷變化的用戶需要,產(chǎn)品的升級(jí)換代方便迅速。

  在本設(shè)計(jì)中采用了Altera 公司的EDA 軟件工具Quartus II ,并以ACEX系列FPGA 的器件為主實(shí)現(xiàn)硬件平臺(tái)的設(shè)計(jì)。

  1 基于FPGA 的VGA 圖形控制器系統(tǒng)框圖

  根據(jù)自頂向下的程序設(shè)計(jì)思想,采用模塊化設(shè)計(jì),我們對(duì)VGA 圖形控制器進(jìn)行功能分離并按層次設(shè)計(jì)。利用VHDL 硬件描述語言逐一對(duì)每個(gè)功能模塊進(jìn)行描述,并逐個(gè)通過編譯仿真,最后下載到硬件平臺(tái)調(diào)試。本設(shè)計(jì)的VGA 控制器主要由以下模塊組成:VGA 時(shí)序控制模塊、分頻模塊、漢字顯示模塊、圖像控制模塊、ROM讀取模塊等,如圖1 所示。

  圖1  基于FPGA 的VGA 圖形控制系統(tǒng)框圖

  2  主要功能模塊設(shè)計(jì)

  2. 1 VGA 時(shí)序控制模塊

  VGA 時(shí)序控制模塊是整個(gè)顯示控制器的關(guān)鍵部分,最終的輸出信號(hào)行、場(chǎng)同步信號(hào)必須嚴(yán)格按照VGA 時(shí)序標(biāo)準(zhǔn)產(chǎn)生相應(yīng)的脈沖信號(hào)。對(duì)于普通的VGA 顯示器, 其引出線共含5 個(gè)信號(hào): G,R ,B (3 基色信號(hào)) ,HS(行同步信號(hào)) ,VS(場(chǎng)同步信號(hào)) 。在5個(gè)信號(hào)時(shí)序驅(qū)動(dòng)時(shí),VGA 顯示器要嚴(yán)格遵循“VGA工業(yè)標(biāo)準(zhǔn)”, 即640 Hz ×480 Hz ×60Hz 模式。

  對(duì)于VGA 顯示器,每個(gè)像素點(diǎn)的輸出頻率為25. 175MHz ,因此采用50MHz 的時(shí)鐘信號(hào),經(jīng)過二分頻模塊,得到25MHz 的輸入時(shí)鐘脈沖。依據(jù)VGA 時(shí)序標(biāo)準(zhǔn),行同步信號(hào)HS ,行周期為31. 78μs ,每顯示行包括800 點(diǎn),其中640 點(diǎn)為有效顯示區(qū),160 點(diǎn)為行消隱區(qū),每行有一個(gè)脈沖,該脈沖的低電平寬度為3. 81μs (即96 個(gè)脈沖) ; 場(chǎng)同步信號(hào)VS ,場(chǎng)周期為16.683ms ,每場(chǎng)有525 行,其中480 行為有效顯示行,45 行為場(chǎng)消隱區(qū),每場(chǎng)有一個(gè)脈沖,該脈沖的低電平寬度為63μs (2 行)。

  圖2 VGA 行掃描、場(chǎng)掃描的時(shí)序圖

  依據(jù)這個(gè)標(biāo)準(zhǔn),把輸入的25MHz 時(shí)鐘脈沖按照對(duì)應(yīng)的像素點(diǎn)數(shù)和掃描行數(shù)進(jìn)行分頻處理,可以得到最后符合時(shí)序要求的行頻率和刷新頻率。

  利用Altera 公司的QuartusII 軟件對(duì)VHDL 語言描述的VGA 時(shí)序控制模塊進(jìn)行編譯、仿真,可得到行同步信號(hào)HS , 場(chǎng)同步信號(hào)VS 的時(shí)序仿真波形,如圖3 所示。



圖3 時(shí)鐘信號(hào)仿真圖

  由仿真結(jié)果波形可以看到,行同步信號(hào)的時(shí)鐘周期約為31. 9μs ,場(chǎng)同步信號(hào)的時(shí)鐘周期約為16。

  6ms ,達(dá)到了VGA 標(biāo)準(zhǔn)時(shí)序的要求,可以為CRT 顯示器提供準(zhǔn)確的行場(chǎng)同步信號(hào)。

  在硬件系統(tǒng)上,通過彩條圖案的生成驗(yàn)證了時(shí)序生成的正確性,可產(chǎn)生豎彩條、橫彩條、棋盤格等多種彩條模式。

  2. 2 漢字顯示模塊

  漢字生成模塊可實(shí)現(xiàn)在顯示器上顯示漢字、字符等。字模信息可以利用字模提取軟件來獲得,得到了漢字的字模信息后,可以通過屏幕上的橫坐標(biāo)和縱坐標(biāo)按照字模信息定義像素的顏色,這樣就可以顯示任何字體、任何點(diǎn)陣的漢字,并根據(jù)需要決定漢字在屏幕上的位置。至于英文以及其他任何符號(hào)的顯示原理與漢字顯示的原理一樣。

  顯示器上顯示的漢字精細(xì)度取決于顯示屏所分網(wǎng)格的數(shù)目多少。設(shè)計(jì)者可以根據(jù)不同要求設(shè)定不同的網(wǎng)格數(shù)目。Altera 公司的FPGA 器件內(nèi)均內(nèi)置— 26 —了嵌入式陣列塊EAB ,通過調(diào)用其中的LPM ROM模塊,可任意設(shè)置參數(shù),構(gòu)成內(nèi)置的ROM存儲(chǔ)器,存放所必要的字模信息,能與漢字顯示模塊配合,顯示出任意漢字。

  2. 3 圖形顯示模塊

  2. 3. 1 ROM讀取控制模塊

  當(dāng)VGA 顯示器要顯示一幀圖像,需要比較大的數(shù)據(jù)量,F(xiàn)PGA 芯片內(nèi)置的ROM 存儲(chǔ)器難以滿足這么大的數(shù)據(jù)存儲(chǔ)要求,必須把圖像數(shù)據(jù)存入外部的存儲(chǔ)器中。

  外部存儲(chǔ)器可以有多種選擇, 如ROM,、雙口RAM、甚至SDRAM 等,采用何種存儲(chǔ)器將最終決定讀取控制模塊的數(shù)據(jù)讀取方式。如ROM 可用直接產(chǎn)生地址信號(hào)的方式對(duì)芯片進(jìn)行訪問,而SDRAM常常利用DMA 控制方式配合CPU 進(jìn)行讀寫操作。

  這里采用型號(hào)為28C040 的4M EEPROM 外部存儲(chǔ)器,可以在單片內(nèi)存儲(chǔ)整屏圖像,同時(shí)考慮到存儲(chǔ)空間的大小,本設(shè)計(jì)采用每個(gè)像素的顏色位深為3 位,共可顯示8 種顏色。此模塊最終仿真結(jié)果如圖4所示。



圖4 地址信號(hào)仿真圖

  由圖中的仿真結(jié)果可以看到,地址信號(hào)每?jī)蓚€(gè)時(shí)鐘脈沖加1 ,而每?jī)蓚€(gè)時(shí)鐘脈沖代表掃描過一個(gè)像素點(diǎn),由此可驗(yàn)證以上設(shè)計(jì)的正確性。

  2. 3. 2 BMP 圖像數(shù)據(jù)存入ROM

  要把一幅圖像的數(shù)據(jù)寫入ROM,首先必須解決的就是寫入文件的格式問題。一般的方法是通過編程器把Intel Hex ,或者二進(jìn)制文件。 bin 逐字節(jié)寫入ROM。而圖像都具有固定的格式,如BMP , JPEG,GIF 等,其中的BMP 格式圖像是一種很常見的圖像格式,沒有經(jīng)過壓縮處理,且文件結(jié)構(gòu)相對(duì)簡(jiǎn)單,易于處理,在本設(shè)計(jì)中采用了BMP 圖像作為研究對(duì)象。將BMP 文件直接轉(zhuǎn)化為Intel Hex 文件比較困難,因此可先將BMP 格式文件轉(zhuǎn)化為mif 格式文件,再由Quartus II 直接將mif 文件轉(zhuǎn)化為Intel Hex 文件,最后再將圖像數(shù)據(jù)燒寫入ROM中。由于沒有現(xiàn)成的轉(zhuǎn)換程序,選擇Visual C ++ 自行編寫轉(zhuǎn)換程序。

  Memory Initialization File ( . mif) 格式是Quartus II中ROM的初始化數(shù)據(jù)文件格式,它記錄方式比較簡(jiǎn)單,其中地址和數(shù)據(jù)都可為十進(jìn)制或十六進(jìn)制,冒號(hào)左邊是地址值,右邊是對(duì)應(yīng)的數(shù)據(jù),并以分號(hào)結(jié)尾。

  相對(duì)mif 文件,BMP 格式的位圖文件稍顯復(fù)雜,它包括位圖文件頭結(jié)構(gòu)、位圖信息頭結(jié)構(gòu)、位圖顏色表和位圖像素?cái)?shù)據(jù)四部分。位圖文件的保存順序如下:位圖文件頭→位圖信息頭→位圖顏色表→位圖像素?cái)?shù)據(jù)。處理位圖時(shí)要根據(jù)文件的這些結(jié)構(gòu)得到位圖文件大小、位圖的寬、高、實(shí)現(xiàn)調(diào)色板、得到位圖像素值等等。以目前應(yīng)用較為廣泛的24 位真彩色圖像為例,其特點(diǎn)是:24 位真彩色圖像存儲(chǔ)文件中不帶有圖像顏色表;圖像中每一像素由RGB 三個(gè)分量組成,每個(gè)分量各8 位,每個(gè)像素需24 位;顏色分量值f red , f green , f blue 的取值范圍都為0 ~ 255。

  對(duì)于用到顏色表的位圖,圖像數(shù)據(jù)就是該像素顏色在調(diào)色板中的索引值。對(duì)于真彩色圖像,圖像數(shù)據(jù)就是實(shí)際的R , G ,B 值,一個(gè)像素是由3 個(gè)字節(jié)24位組成, 前8 位表示B , 中間8 位表示G , 后8 位表示R 。

  由于硬件存儲(chǔ)資源的限制,取圖像像素的位深為3 ,總共可顯示8 種顏色。首先把BMP 圖像數(shù)據(jù)的RGB 分量分別讀出,并且各取1 位,即顏色分量值只能取值‘0’或‘1’。針對(duì)24 位真彩色圖像的特點(diǎn),可對(duì)顏色分量值進(jìn)行粗略取樣,當(dāng)顏色分量值f red >127 ,取f red = 1 ;反之取f red = 0。同理,顏色分量值f green , f blue 可取得相應(yīng)的0 ,1二值。

  最后在利用Visual C ++ 編寫轉(zhuǎn)換程序時(shí)應(yīng)注意,BMP 文件是從左至右,從下至上排列的,即讀文件時(shí),最先讀到的是圖像最下面一行的左邊第一個(gè)像素,最后讀到的是最上面一行的最右邊一個(gè)像素,這與VGA 顯示器的掃描順序恰好相反。

  3 結(jié)論

  經(jīng)過硬件平臺(tái)的驗(yàn)證,基于FPGA 的VGA 圖形顯示器已達(dá)到設(shè)計(jì)要求,可實(shí)現(xiàn)彩條、漢字、小圖像和大圖像的顯示,并可實(shí)現(xiàn)FPGA 器件對(duì)顯示器的單片控制。

  由于受到存儲(chǔ)器件容量的限制,本設(shè)計(jì)中存儲(chǔ)的圖像數(shù)據(jù)所采用的像素位深為3 位,共可顯示8種顏色。在實(shí)際應(yīng)用中,可以使用更大的存儲(chǔ)器,最終實(shí)現(xiàn)256 色圖像,乃至真彩色圖像的顯示。在此設(shè)計(jì)基礎(chǔ)上,通過使用SDRAM 等外部存儲(chǔ)器,利用DMA 控制方式,并且配合Altera 的nios 嵌入式軟核CPU ,可以在SOPC 開發(fā)平臺(tái)上最終實(shí)現(xiàn)兼容SVGA ,TVGA 標(biāo)準(zhǔn)等的更復(fù)雜顯示控制器。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉