當(dāng)前位置:首頁 > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]介紹了基于CPLD的異步串行收發(fā)器的設(shè)計(jì)方案,著重?cái)⑹隽擞没旌陷斎耄òㄔ韴D和VHDL)實(shí)現(xiàn)該設(shè)計(jì)的思想,闡述了在系統(tǒng)可編程(ISP)開發(fā)軟件的應(yīng)用方法與設(shè)計(jì)流程,并給出了VHDL源文件和仿真波形。

   摘要:介紹了基于CPLD的異步串行收發(fā)器的設(shè)計(jì)方案,著重?cái)⑹隽擞没旌陷斎耄òㄔ韴D和VHDL)實(shí)現(xiàn)該設(shè)計(jì)的思想,闡述了在系統(tǒng)可編程(ISP)開發(fā)軟件的應(yīng)用方法與設(shè)計(jì)流程,并給出了VHDL源文件和仿真波形。

    關(guān)鍵詞:異步串行收發(fā)器;混合輸入;在系統(tǒng)可編程;CPLD;ispLSI1016

傳統(tǒng)數(shù)字系統(tǒng)的設(shè)計(jì)主要基于標(biāo)準(zhǔn)邏輯器件并采用“Bottom-Up”(自底向上)的方法構(gòu)成系統(tǒng)。這種“試湊法”設(shè)計(jì)無固定套路可尋,主要憑借設(shè)計(jì)者的經(jīng)驗(yàn)。所設(shè)計(jì)的數(shù)字系統(tǒng)雖然不乏構(gòu)思巧妙者,但往往要用很多標(biāo)準(zhǔn)器件,而且系統(tǒng)布線復(fù)雜,體積功耗大,可靠性差,相互交流和查錯(cuò)修改不便,設(shè)計(jì)周期也長。隨著電子技術(shù)的發(fā)展,采用先進(jìn)的CPLD ?復(fù)雜的可編程邏輯器件?器件取代傳統(tǒng)電路已經(jīng)成為技術(shù)發(fā)展的必然趨勢。Lattice公司的ispLSI是當(dāng)今世界上速度最快密度最高的CPLD之一。它采用先進(jìn)的ISP技術(shù),使器件無需拆卸即可在系統(tǒng)內(nèi)重新配置邏輯功能。數(shù)字系統(tǒng)設(shè)計(jì)的革命性變化,使得傳統(tǒng)的“固定功能集成塊+連線”的設(shè)計(jì)方法正逐步退出歷史舞臺(tái),而基于芯片的設(shè)計(jì)正在成為電子系統(tǒng)設(shè)計(jì)方法的主流。

    本設(shè)計(jì)選用Lattice公司生產(chǎn)的ispLSI1016器件,并以“異步串行收發(fā)器”為例,采用現(xiàn)代電路與系統(tǒng)中的設(shè)計(jì)思想來說明“基于芯片的設(shè)計(jì)”在實(shí)現(xiàn)數(shù)字系統(tǒng)的具體應(yīng)用方法。這種設(shè)計(jì)方法不僅可使硬件設(shè)計(jì)“軟件化”、縮短設(shè)計(jì)周期、提高效率,而且易于修改和“升級(jí)”。

1 異步串行收發(fā)器的工作原理

異步串行收發(fā)器的工作原理主要包括兩部分:第一是接收串行數(shù)據(jù)并將其轉(zhuǎn)化為并行數(shù)據(jù),第二是把并行數(shù)據(jù)以串行數(shù)據(jù)方式發(fā)送出去。由于接收和發(fā)送是異步的,所以接收部分和發(fā)送部分需要不同的時(shí)鐘。

發(fā)送部分的系統(tǒng)工作原理如圖1所示,其中TXD?7?0?是并行數(shù)據(jù)輸入信號(hào),TBITCLK是發(fā)送器時(shí)鐘信號(hào),RESETF是發(fā)送器控制模塊內(nèi)部復(fù)位信號(hào),MWDSLF是電平敏感鎖存器使能信號(hào),TRSTF是一位鎖存器模塊復(fù)位信號(hào),TXDATA是串行數(shù)據(jù)輸出信號(hào)。

當(dāng)發(fā)送電路工作時(shí),電平敏感鎖存器使能信號(hào)(MWDSLF)有效,并行數(shù)據(jù)被送入鎖存器,之后,控制模塊1產(chǎn)生并入串出移位寄存器裝入信號(hào)(STLD),以在時(shí)鐘信號(hào)(TBITCLK)的作用下,將并行數(shù)據(jù)裝入并入串出移位寄存器,然后,控制模塊1再產(chǎn)生并入串出移位寄存器移位信號(hào)(STLD),并在時(shí)鐘信號(hào)(TBITCLK)的作用下,將并行數(shù)據(jù)移出。

    接收部分的系統(tǒng)工作原理如圖2所示,其中RXDATA是串行數(shù)據(jù)輸入信號(hào),RBITCLK是接收器時(shí)鐘信號(hào),RE-SETF是接收器控制模塊內(nèi)部復(fù)位信號(hào),MRDSLF為邊沿觸發(fā)鎖存器使能信號(hào),RXD?7:0?是并行數(shù)據(jù)輸出信號(hào),RDRDYF是并行數(shù)據(jù)準(zhǔn)備好信號(hào)。該部分電路工作時(shí),首先在時(shí)鐘信號(hào)(RBITCLK)的作用下,串行數(shù)據(jù)(RXDATA)被串入并出移位寄存器轉(zhuǎn)化為并行數(shù)據(jù);同時(shí),控制模塊2在行計(jì)數(shù)控制下,在一幀串行數(shù)據(jù)到并行數(shù)據(jù)轉(zhuǎn)換完成時(shí),使邊沿觸發(fā)鎖存器使能信號(hào)MRDSLF有效;之后,在控制模塊產(chǎn)生的時(shí)鐘信號(hào)的作用下將并行數(shù)據(jù)鎖存到鎖存器,同時(shí),使并行數(shù)據(jù)準(zhǔn)備好信號(hào)(RDRDYF)有效。

2 異步串行收發(fā)器的具體實(shí)現(xiàn)

硬件電路功能來用Lattice公司的CPLD來實(shí)現(xiàn),用ispLEVER3.0 進(jìn)行軟件設(shè)計(jì)。可利用原理圖和硬件描述語言VHDL完成源文件的設(shè)計(jì)。以下對其CPLD流程源文件及仿真波形作以介紹。

2.1 用ispLEVER軟件設(shè)計(jì)CPLD的流程

Lattice公司新的設(shè)計(jì)工具ispLEVER可支持isp-MACH、 ispLSI、 ispGDX、 ispGAL、 GAL器件以及具有革新意義的新的ispMACH 5000VG和ispMACH 4000 CPLD器件系列。用ispLEVER設(shè)計(jì)CPLD的源文件主要有硬件描述語言(VHDL、Verilog HDL和ABLE-HDL)、電路原理圖和網(wǎng)表五種方式。在利用該軟件設(shè)計(jì)數(shù)字電路與系統(tǒng)時(shí),可采用原理圖、硬件描述語言以及混合輸入方式。本設(shè)計(jì)將采用混合輸入方式(原理圖與VHDL語言)。用ispLEVER軟件設(shè)計(jì)CPLD的基本流程如圖3所示。

    2.2 源文件輸入

ispLEVER軟件的系統(tǒng)庫包括3部分:可編程大規(guī)模集成電路庫(pLSI)、通用電路庫(GENERIC)、用戶自己設(shè)計(jì)的元件庫(Local)。這些庫中又列出了若干子庫,而這些子庫都是以宏來定義的,如門(Gate)、寄存器(Regs)、算術(shù)運(yùn)算器(Ariths)、I/O端口等。

在原理圖輸入方式中,首先應(yīng)當(dāng)用這些宏來構(gòu)成電路符號(hào),然后像邏輯元件那樣畫成原理圖,同時(shí)給出它們的連線以及各個(gè)輸入輸出緩沖器電路的具體配置。由此構(gòu)成的宏在原理圖上只是一些方框形的符號(hào),沒有涉及其內(nèi)部具體邏輯,因而這種宏實(shí)際上是一種所謂的頂層原理圖。與頂層原理圖對應(yīng)的是底層原理圖,頂層原理圖實(shí)際上是一種由各種門和觸發(fā)器等基本邏輯器件組成的基本原理圖,可以說它是頂層原理圖的內(nèi)核。除了直接用基本邏輯器件構(gòu)成底層原理圖外,還可以用硬件描述語言編寫源文件模塊以作為宏,同時(shí)作為一種底層原理圖去充實(shí)、支持頂層原理圖。頂層模塊原理圖如圖4所示。

    頂層宏模塊中發(fā)送器部分?TRANSMITTERM_S?的原理圖如圖5所示,電平鎖存器、一位鎖存器模塊以及控制模塊1部分可調(diào)用系統(tǒng)庫內(nèi)的宏模塊,因?yàn)檫@一部分硬件電路已很成熟,而且并不是很復(fù)雜。調(diào)用庫內(nèi)宏模塊用相對于硬件描述語言而言,其占用系統(tǒng)資源較少。

圖5中,圓圈部分的并入串出移位寄存器(PS_SHIFTREG)宏模塊可用硬件描述語言(VHDL)進(jìn)行功能描述。其VHDL源文件如下:

LIBRARY ieee;

USE ieee.std logic 1164.ALL;

entity logic166 is

Port ? A ? In std logic;

B ? In std logic;

C ? In std logic;

CLK ? In std logic;

D ? In std logic;

E ? In std logic;

F ? In std logic;

G ? In std logic;

H ? In std logic;

SER ? In std logic;

STLD ? In std logic;

QH ? Out std logic ?;

end logic166;

architecture BEHAVIORAL of logic166 is

signal t latch? std logic vector?7 downto 0?;

begin

process?STLD,CLK,SER,A,B,C,D,E,F,G,H?

begin

if?CLK'event and CLK=′1′? then

if?STLD=′1′? then

t latch?7?<=A;

t latch?6?<=B;

t latch?5?<=C;

t latch?4?<=D;

t latch?3?<=E;

t latch?2?<=F;

t latch?1?<=G;

t latch?0?<=H;

else

t latch?0?<=t latch?1?;

t latch?1?<=t latch?2?;

t latch?2?<=t latch?3?;

t latch?3?<=t latch?4?;

t latch?4?<=t latch?5?;

t latch?5?<=t latch?6?;

t latch?6?<=t latch?7?;

t latch?7?<=SER;

end if;

end if;

end process

QH<=t latch?0?;

end BEHAVIORAL;

圖5

    頂層宏模塊中接收器部分?RECEIVER_S?的原理圖如圖6所示,邊沿觸發(fā)鎖存器、串入并出移位寄存器以及控制模塊2部分可以調(diào)用系統(tǒng)庫內(nèi)的宏模塊。圖中圓圈部分的計(jì)數(shù)器(COUNTER9)宏模塊可用硬件描述語言(VHDL)進(jìn)行功能描述。VHDL源文件如下:

LIBRARY vanmacro;

USE vanmacro.components.ALL;

LIBRARY ieee;

LIBRARY generics;

USE ieee.std logic 1164.ALL;

USE ieee.numeric std.ALL;

USE generics.components.ALL;

entity counter9 is

Port ? clk ? In std logic;

cao ? Out std logic ?;

end counter9;

architecture behav of counter9 is

signal counter? integer range 0 to 9;

begin

process?clk?

begin

圖6

    if?clk'event and clk=′0′? then

if?counter=9? then

counter<=0;

else

counter<=counter+1;

end if;

end if;

end process;

process?counter?

begin

if?counter=9? then

cao<=′1′;

else

cao<=′0′;

end if;

end process;

end behav;

在上述源文件設(shè)計(jì)中,控制模塊1和控制模塊2都采用了調(diào)用宏模塊的方式。在此設(shè)計(jì)中,時(shí)序控制十分重要,而采用調(diào)用宏模塊的原理圖輸入方式能很好的控制延時(shí)參數(shù)。如果用硬件描述語言進(jìn)行時(shí)序功能描述,那么經(jīng)邏輯綜合、優(yōu)化后,其延時(shí)參數(shù)有時(shí)很難控制。而減小延時(shí)參數(shù)需要更多的資源來補(bǔ)償。

    2.3 仿真分析

ispLEVER軟件提供了強(qiáng)大的功能仿真和時(shí)序仿真功能,其最高仿真頻率可達(dá)36MHz。圖7是接收器部分的仿真波形。預(yù)接收的串行數(shù)據(jù)為:“10101110”。而發(fā)送器部分的仿真波形如圖8所示。預(yù)發(fā)送的并行數(shù)據(jù)為:TXD0=‘1’,TXD1=‘0’,TXD2=‘1’,TXD3=‘0’,TXD4=‘1’,TXD5=‘1’,TXD6=‘1’,TXD7=‘0’。

3 結(jié)論

該設(shè)計(jì)充分體現(xiàn)了ISP技術(shù)的優(yōu)越性,使整個(gè)系統(tǒng)無論從最初方案的設(shè)計(jì)到編寫程序,還是從仿真調(diào)試到下載驗(yàn)證,都顯得相當(dāng)快捷和方便。采用ISP技術(shù)具有流程簡單、無引腳損傷、可實(shí)現(xiàn)多功能硬件、并可為測試重構(gòu)邏輯等優(yōu)點(diǎn)。隨著網(wǎng)絡(luò)技術(shù)的日益完善,通過網(wǎng)絡(luò)來對遠(yuǎn)隔萬里的用戶系統(tǒng)進(jìn)行軟件版本的升級(jí)換代,無疑會(huì)給廠家與用戶帶來更多方便。該異步串行收發(fā)器接收和發(fā)送的bit數(shù)可根據(jù)具體需要進(jìn)行相應(yīng)的改動(dòng),而且只需改動(dòng)底層模塊中的鎖存器、串入并出移位寄存器及并入串出移位寄存器的bit數(shù)和計(jì)數(shù)器的大小(控制模塊部分不需改動(dòng)),然后再經(jīng)相關(guān)軟件的綜合和適配,即可重新下載到具體器件中。該設(shè)計(jì)中 bit數(shù)選擇8位,完全是出于所選器件的容量考慮。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉