當前位置:首頁 > EDA > 電子設(shè)計自動化
[導(dǎo)讀] 1 引 言   同步在通信系統(tǒng)中占有非常重要的地位,同步系統(tǒng)性能的高低在很大程度上決定了通信系統(tǒng)的質(zhì)量,甚至通信的成敗。相關(guān)器是同步系統(tǒng)的關(guān)鍵部件之一,因此,要求相關(guān)器須有比其它部件更高的可靠性。

   1 引 言  

       同步在通信系統(tǒng)中占有非常重要的地位,同步系統(tǒng)性能的高低在很大程度上決定了通信系統(tǒng)的質(zhì)量,甚至通信的成敗。相關(guān)器是同步系統(tǒng)的關(guān)鍵部件之一,因此,要求相關(guān)器須有比其它部件更高的可靠性。實際應(yīng)用中,相關(guān)器可用軟件實現(xiàn)也可用硬件電路實現(xiàn),后者更適合于高速數(shù)據(jù)通信中的相關(guān)檢測。本文在總結(jié)一般數(shù)字相關(guān)器設(shè)計的基礎(chǔ)上,設(shè)計實現(xiàn)了一種高性能的數(shù)字相關(guān)器。   

       數(shù)字相關(guān)器的一般原理如圖1所示。

 

圖1  數(shù)字相關(guān)器的一般原理

  相關(guān)器以數(shù)倍接收數(shù)據(jù)bit速率對所輸入的接收數(shù)據(jù)取樣,每個取樣bit移入數(shù)據(jù)輸入寄存器,然后逐bit地與存貯在基準寄存器中的基準字進行比較,若兩者一致,輸出正相關(guān)脈沖,若輸入數(shù)據(jù)bit與基準字補碼相一致,則輸出負相關(guān)脈沖。正相關(guān)和負相關(guān)所允許的最大不一致bit數(shù)分別存貯在相關(guān)器的上限寄存器和下限寄存器里??鞎r鐘頻率一般是慢時鐘的數(shù)十倍,相關(guān)計數(shù)判決在快時鐘的后半周之內(nèi)必須完成。因此,時序控制比較復(fù)雜,而且輸出相關(guān)峰的寬度很窄(半個快時鐘周期),系統(tǒng)工作時容易造成丟峰、漏峰等不良后果,給系統(tǒng)帶來了潛在的不穩(wěn)定因素,且增加了系統(tǒng)內(nèi)在功耗。為此,本文提出一種用VHDL設(shè)計的在FPGA器件中實現(xiàn)的高速硬件相關(guān)器(無快時鐘,適時運算處理)的設(shè)計方法。

  3 用VHDL設(shè)計數(shù)字相關(guān)器   

  用VHDL設(shè)計數(shù)字相關(guān)器的邏輯框圖如圖2所示。

圖2  字相關(guān)器的邏輯框圖

  本文用VHDL設(shè)計的數(shù)字相關(guān)器,僅需一個數(shù)據(jù)時鐘,避免了復(fù)雜的時序控制,它采用適時運算處理,所得相關(guān)峰的寬度是一個數(shù)據(jù)比特,比較容易捕獲,不會產(chǎn)生丟峰漏峰等不良現(xiàn)象,提高了相關(guān)器的可靠性。  

       下面給出32-bit數(shù)字相關(guān)器的部分VHDL源程序。


       4 FPGA實現(xiàn)32-bit數(shù)字相關(guān)器  

       本設(shè)計選用XC4044XLA FPGA芯片實現(xiàn),開發(fā)工具是XILINX公司的FoundationSeries3.1i。相關(guān)器僅占該芯片部分資源,該芯片其余資源為同步系統(tǒng)中其它部件所用?! ∠旅娼o出該相關(guān)器測試結(jié)果。給相關(guān)器設(shè)置32位相關(guān)碼:將0F7ADH、96E8H依次由低到高置入相關(guān)碼寄存器中,其接收數(shù)據(jù)中的獨特碼與相關(guān)碼相同,測試結(jié)果如圖3所示。

 

圖3測試結(jié)果

       5 結(jié)束語  

       用VHDL設(shè)計在FPGA芯片中實現(xiàn)數(shù)字相關(guān)器,簡化了相關(guān)器復(fù)雜的邏輯電路設(shè)計,降低了相關(guān)器的功耗,提高了相關(guān)器的可靠性。該相關(guān)器已成功地應(yīng)用于某無線通信系統(tǒng)中,性能穩(wěn)定可靠。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉