當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]1 引言 BX型信號(hào)變壓器,BG型軌道變壓器和ZG型硅整流器作為鐵路信號(hào)電器設(shè)備的前端,其工作的穩(wěn)定性、準(zhǔn)確性直接關(guān)系到行車安全,變壓器的可靠檢測(cè)是嚴(yán)抓質(zhì)量的第一步,對(duì)于生產(chǎn)廠家而言,測(cè)試流程不允許抽樣,且測(cè)試

1 引言

     BX型信號(hào)變壓器,BG型軌道變壓器和ZG型硅整流器作為鐵路信號(hào)電器設(shè)備的前端,其工作的穩(wěn)定性、準(zhǔn)確性直接關(guān)系到行車安全,變壓器的可靠檢測(cè)是嚴(yán)抓質(zhì)量的第一步,對(duì)于生產(chǎn)廠家而言,測(cè)試流程不允許抽樣,且測(cè)試結(jié)果應(yīng)留檔。本套測(cè)試系統(tǒng)用來(lái)測(cè)試鐵路變壓器的各種要求參數(shù),包括原邊空載電流、次邊空載電壓、次邊帶載電壓電流,變壓器絕緣電阻、原邊電壓頻率,測(cè)量結(jié)果精度要求3%,測(cè)試系統(tǒng)分為上下位機(jī)兩大部分,下位機(jī)運(yùn)用智能儀表的設(shè)計(jì)思想,在MCUCPLD控制基礎(chǔ)上對(duì)各種要求測(cè)試參數(shù)分別進(jìn)行自動(dòng)測(cè)試,上位機(jī)上采用VC++6.0編寫軟件實(shí)現(xiàn)串口通訊,歸類統(tǒng)計(jì)并判斷是否合格,存儲(chǔ)打印結(jié)果,系統(tǒng)滿足操作簡(jiǎn)單可靠,提高效率,減少誤差。

2 功能介紹

     下位機(jī)以80C196KC作為控制中樞,其主程序框圖見圖1,變壓器接入測(cè)試儀測(cè)試端后接通電源,打開測(cè)試儀,測(cè)試儀首先進(jìn)行初始化,包括PSD,全局變量、串口、中斷8253計(jì)數(shù)器,LCD等的初始化,然后進(jìn)行自檢,包括檢查測(cè)試儀內(nèi)部電路是否正常,是否響鈴(由變壓器輸入端電壓接反引起的報(bào)警),連續(xù)檢測(cè)8個(gè)周期的輸入端電壓并判斷輸入電源是否接好,電壓是否正常,通訊是否正常,自檢過程是串級(jí)檢測(cè)(任一項(xiàng)自檢不合格就閃燈報(bào)警),MCU根據(jù)鍵入值顯示工作狀態(tài),并調(diào)用子程序處理,人工輸入變壓器型號(hào),監(jiān)測(cè)儀進(jìn)入設(shè)置狀態(tài),控制相應(yīng)繼電器動(dòng)作,將該變壓器所有副邊繞組接入測(cè)試端,并選擇合適量程,進(jìn)入測(cè)試狀態(tài),測(cè)完參數(shù)后MCU進(jìn)行處理并顯示相應(yīng)變壓器測(cè)試結(jié)果,進(jìn)入判斷狀態(tài),判斷變壓器是否合格,不合格則觸發(fā)蜂鳴器報(bào)警,根據(jù)要求進(jìn)入通訊狀態(tài),向上位機(jī)發(fā)送和接收數(shù)據(jù)。

   本設(shè)計(jì)采用MAX7000系列的EPM7128兩塊,其中第一塊CPLD(1)的主要功能如下:

(1)與A/D芯片(MAX125)相應(yīng)片腳連接,控制模/數(shù)轉(zhuǎn)換并讀入其結(jié)果,MAX125為并行輸出,是以字進(jìn)行操作的,所以一次要讀14位,用兩個(gè)字節(jié)鎖存。

(2)通過系統(tǒng)總線,將A/D轉(zhuǎn)換結(jié)果傳給80C196進(jìn)行處理。

(3)將80C196處理過的需顯示的數(shù)據(jù)緩沖,產(chǎn)生LCD的數(shù)據(jù)(8位)總線驅(qū)動(dòng)。

(4)鍵盤響應(yīng)。

(5)JTAG功能。

    第二塊CPLD(簡(jiǎn)稱CPLD(2))依據(jù)MCU發(fā)出的指令或輸入型號(hào)譯碼后驅(qū)動(dòng)控制與該型號(hào)二次繞組對(duì)應(yīng)的繼電器吸合與釋放動(dòng)作,并選擇量程。由于繼電器沒有地址,所有操作他的數(shù)據(jù)必須同時(shí)發(fā)送,即使只改變一個(gè)繼電器的狀態(tài),仍然要把沒有改變的繼電器的數(shù)據(jù)同時(shí)送給CPLD鎖存,所以譯碼是必需的。

     CPLD的功能結(jié)構(gòu)示意圖如圖2所示,可以認(rèn)為,CPLD在下位機(jī)中起著重要的關(guān)聯(lián)作用,一方面,他與MCU相互通訊,并受MCU控制,另一方面,CPLD又執(zhí)行著對(duì)前端電路、鍵盤、LCD和繼電器板等的操作任務(wù),所以從系統(tǒng)總體的角度出發(fā),CPLD完成一些接口功能。

3 頻率跟蹤測(cè)量技術(shù)

    本系統(tǒng)需要對(duì)變壓器的電壓、電流和頻率等進(jìn)行交流采樣,而交流采樣應(yīng)用成功與否,頻率的準(zhǔn)確測(cè)量是一個(gè)關(guān)鍵,因?yàn)槲覀兪侨?個(gè)正常采樣周期的平均電壓、電流值,而且由于不同型號(hào)變壓器的輸出頻率不一,本身也需要測(cè)量頻率。響應(yīng)時(shí)間、測(cè)量精度以及硬件要求是測(cè)頻方法的主要指標(biāo)。

    我們可以定量分析一下由電壓信號(hào)頻率波動(dòng)所引起的電壓信號(hào)有效值誤差的大小。

    一般工頻頻率的波動(dòng)常常要到0.1Hz以上,即δf>0.1/50=0.5%,則對(duì)電壓信號(hào)有效值的影響要達(dá)到0.25%,所以應(yīng)實(shí)現(xiàn)采樣頻率對(duì)工頻頻率的在線自動(dòng)跟蹤,以保證測(cè)量精度。

    考慮到系統(tǒng)的頻率不是變化很快,要實(shí)現(xiàn)采樣頻率隨系統(tǒng)工頻的變化而實(shí)時(shí)調(diào)整,可先測(cè)得系統(tǒng)的頻率前一周期對(duì)應(yīng)的計(jì)數(shù)值Tc(以單片機(jī)系統(tǒng)的定時(shí)器時(shí)鐘周期為單位),然后根據(jù)每周波采樣點(diǎn)數(shù)(N),適時(shí)計(jì)算出每一采樣間隔計(jì)數(shù)值Tsj。

間隔計(jì)數(shù)值:

Tsj=Tc/N (2)

    則以Tsj為周期進(jìn)行采樣,即可實(shí)現(xiàn)采樣頻率的實(shí)時(shí)跟蹤,保證了交流等間隔采樣,為實(shí)現(xiàn)這一過程,通常采用的電路結(jié)構(gòu)為:來(lái)自電壓(流)互感器的電壓(流)經(jīng)過低通濾波器和跟隨器,經(jīng)過零比較器(可用LM339)整形成方波,經(jīng)光耦(如4N35)送到80C196KC的高速輸入接口 HS1.0利用方波的上升沿觸發(fā)高速輸入中斷,側(cè)得每個(gè)工頻周期計(jì)數(shù)值Tc。單片機(jī)由式(2)計(jì)算得到采樣間隔時(shí)間Tsj。以Tsj為時(shí)間間隔,設(shè)置軟件定時(shí)器中斷,在軟件定時(shí)器中斷中進(jìn)行采樣間隔設(shè)置,主程序根據(jù)其確定啟動(dòng)A/D的時(shí)間間隔,完成周期誤差的大大減少和采樣頻率的實(shí)時(shí)跟蹤。

4 CPLD模塊設(shè)計(jì)

     明確了CPLD的主要作用后,我們?cè)贛ax+Plus II軟件上用VHDL語(yǔ)言分別實(shí)現(xiàn)這些模塊功能,設(shè)計(jì)包括4個(gè)階段:設(shè)計(jì)輸入、設(shè)計(jì)處理、設(shè)計(jì)驗(yàn)證和器件編程。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。非常適用于可編程邏輯芯片的應(yīng)用設(shè)計(jì)。

4.1 主要模塊進(jìn)程

     系統(tǒng)檢測(cè)變壓器時(shí),MCU在正常初始化后,有鍵盤輸入時(shí)會(huì)要求CPLD運(yùn)行按鍵響應(yīng)進(jìn)程;CPLD根據(jù)所鍵入型號(hào)運(yùn)行繼電器控制進(jìn)程,操作繼電器板,并上傳操作結(jié)果,MCU在認(rèn)為前述操作正常后,才會(huì)要求CPLD啟動(dòng)對(duì)A/D控制的進(jìn)程,模/數(shù)轉(zhuǎn)換結(jié)果會(huì)送給MCU;MCU對(duì)轉(zhuǎn)換結(jié)果進(jìn)行處理后,又會(huì)要求CPLD運(yùn)行顯示進(jìn)程,顯示結(jié)果LCD上。

4.2 仿真

以讀A/D結(jié)果(ReadOperation)進(jìn)程為例進(jìn)行仿真。

——進(jìn)程名稱:ReadOperation

——敏感變量,RD

——輸出變量,DataBusIn(送到數(shù)據(jù)總線上)

——目的:讀操作

ReadOperation:process(RD,Enlcd,En125Low,En125High,CSIF,CSIM)

    需要說明的是,信號(hào)變量在仿真時(shí)需聲明其初始值,本讀操作進(jìn)程中的信號(hào)變量自會(huì)有其他進(jìn)程(如片選進(jìn)程)為其賦值,“&”作為連接運(yùn)算符,可將多個(gè)對(duì)象或矢量連接成位數(shù)更大的矢量,對(duì)ReadOperation進(jìn)程編譯仿真的波形圖如圖3所示。

5 結(jié)語(yǔ)

  經(jīng)過現(xiàn)場(chǎng)試用得到實(shí)驗(yàn)測(cè)試數(shù)據(jù),表1列出3臺(tái)BX1-34型變壓器的部分參數(shù)測(cè)試結(jié)果,其中I次空流代表原邊空載電流,空壓II12指二次繞組1和2端的空載電壓,其他類推,II次空壓為二次繞組的總空載電壓,滿載電壓指在二次繞組串接8Ω電阻時(shí)的電壓值。

   結(jié)果滿足《信號(hào)維護(hù)規(guī)則)中對(duì)變壓器“輸入額定電壓,二次端子電壓空載時(shí)其誤差不大于端子額定電壓值的10%;容量為30-60VA的變壓器滿載時(shí),其二次端子電壓不小于端子額定電壓值的85%”的規(guī)定。

    本次開發(fā)綜合考慮了MCU和CPLD的相互作用,采用了交流采樣技術(shù),認(rèn)真考慮VHDL進(jìn)程并行和CPLD的結(jié)構(gòu)特點(diǎn),并應(yīng)用電路簡(jiǎn)化的幾種技巧與方法,充分利用CPLD的硬件資源優(yōu)化電路,實(shí)現(xiàn)系統(tǒng)對(duì)穩(wěn)定性,精確度等方面的要求。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉