當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]隨著社會(huì)的發(fā)展和信息時(shí)代對(duì)各類(lèi)信息快速發(fā)布的需要, 許多政府部門(mén)和企事業(yè)單位從提高自身形象和信息規(guī)范化管理考慮, 廣泛采用LED 電子顯示屏顯示產(chǎn)品, 此類(lèi)多媒體顯示系統(tǒng)通過(guò)一定的控制方式,用于顯示文字、圖形

隨著社會(huì)的發(fā)展和信息時(shí)代對(duì)各類(lèi)信息快速發(fā)布的需要, 許多政府部門(mén)和企事業(yè)單位從提高自身形象和信息規(guī)范化管理考慮, 廣泛采用LED 電子顯示屏顯示產(chǎn)品, 此類(lèi)多媒體顯示系統(tǒng)通過(guò)一定的控制方式,用于顯示文字、圖形、圖像、動(dòng)畫(huà)、股市行情等各種信息以及電視、錄像、DVD 等信號(hào), 是交通指揮引導(dǎo)、部隊(duì)作戰(zhàn)、電力部門(mén)、公共場(chǎng)所進(jìn)行企業(yè)形象宣傳、信息發(fā)布和精神文明建設(shè)的有效工具和良好窗口。

采用現(xiàn)場(chǎng)可編程邏輯器件( FPGA) 作為控制器, 選擇合適的器件, 利用器件豐富的I/O 口、內(nèi)部邏輯和連線資源, 采用自上而下的模塊化設(shè)計(jì)方法, 可以方便地設(shè)計(jì)整個(gè)顯示系統(tǒng)。

電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)是基于可編程器件( PLD) 的數(shù)字電子系統(tǒng)設(shè)計(jì), 它是進(jìn)行系統(tǒng)芯片集成的新設(shè)計(jì)方法, 也正在快速地取代基于PCB 板的傳統(tǒng)設(shè)計(jì)方式。在EDA工具軟件MAX+PLUSⅡ的支持下, 本設(shè)計(jì)通過(guò)了編譯、適配和軟件仿真驗(yàn)證。最后用載入真實(shí)實(shí)驗(yàn)系統(tǒng)的硬件實(shí)驗(yàn)證明了它的正確性。

1 系統(tǒng)結(jié)構(gòu)及其原理

本設(shè)計(jì)結(jié)合上海航虹高科技公司生產(chǎn)的EDA實(shí)驗(yàn)箱, 需要顯示的16 個(gè)字符的字模已存放在EPROM 的8000H~807FH, 采用單個(gè)8×8LED 點(diǎn)陣(WTD3088)顯示字符, 顯示控制由現(xiàn)場(chǎng)可編程門(mén)陣列( FPGA) EPF10K20TC144- 4 來(lái)實(shí)現(xiàn)。系統(tǒng)原理是FPGA首先產(chǎn)生點(diǎn)陣字模地址, 并從存儲(chǔ)器讀出數(shù)據(jù)存放在16 位寄存器中, 然后輸出到LED 點(diǎn)陣的列, 同時(shí)對(duì)點(diǎn)陣列循環(huán)掃描以動(dòng)態(tài)顯示數(shù)據(jù), 當(dāng)需要顯示數(shù)據(jù)字模的列和被選中的列能夠協(xié)調(diào)配合起來(lái), 就可以正確顯示字符。

2 FPGA設(shè)計(jì)及其原理

為在8×8LED 發(fā)光二極管點(diǎn)陣上顯示字符, 首先要把字符表示成為8×8 像素點(diǎn)( AD0~AD7) , 分別為按列抽取依序排列的字符像素信息, 均具有8 位字長(zhǎng)。然后依次對(duì)多字符抽取像素信息, 并按序排隊(duì)存放于EPROM 之中, 便可得到一個(gè)待顯示數(shù)據(jù)序列。進(jìn)一步通過(guò)尋址的方法來(lái)控制該數(shù)據(jù)序列的釋放過(guò)程, 就可實(shí)現(xiàn)在8×8LED發(fā)光二極管點(diǎn)陣上滾動(dòng)顯示多個(gè)字符信息的目的。page 控制字符顯示的滾動(dòng)速度, 它的取值范圍應(yīng)為0~N, col 決定LED 點(diǎn)陣的列開(kāi)關(guān)的掃描速度,它的取值范圍應(yīng)為0~7.某一時(shí)刻能在顯示數(shù)據(jù)序列中定位待顯示數(shù)據(jù)的地址指針可用下式計(jì)算: addr=page+col應(yīng)當(dāng)注意的是, 掃描速度應(yīng)遠(yuǎn)大于滾動(dòng)速度, 本設(shè)計(jì)中使用的掃描時(shí)鐘是1KHz, 字符的滾動(dòng)速度是1 秒/ 個(gè)。調(diào)節(jié)字符信息的滾動(dòng)速度;改變col 的重復(fù)掃描周期, 可改善在LED 點(diǎn)陣上顯示完整字符信息的穩(wěn)定性。

另外還需指出, 由于addr 的運(yùn)算要靠硬件實(shí)現(xiàn), 故地址指針addr的模應(yīng)取為N, 而且為實(shí)現(xiàn)全部字符信息滾過(guò)LED 點(diǎn)陣, 必須在數(shù)據(jù)N前補(bǔ)充8 個(gè)空數(shù)據(jù)字節(jié)。根據(jù)其工作原理, 設(shè)計(jì)了描述FPGA內(nèi)核硬件功能的VHDL 語(yǔ)言程序。本設(shè)計(jì)結(jié)合了EDA實(shí)驗(yàn)箱和ALTERA公司的MAX+PLUSⅡ研發(fā)工具, 并采取了行為的描述方式。

VHDL程序如下:

libraryieee;

use ieee.s td_logic_1164.all;

use ieee.s td_logic_arith.all;

use ieee.s td_logic_uns igned.all;

entityledis

port (clk1,clk2,reset: ins td_logic;

led_s: out s td_logic_vector(2downto0);

memcs,rd,wr,cs0809,sELmled:out s td_logic;

a: out s td_logic_vector(15downto0);

ad: out s td_logic_vector(7downto0));

end;

architecture led_archofledis

s ignalcol:integerrange 0to7;

s ignalpage :integerrange 0to15;

s ignaloe:s td_logic;

begin

proces s(clk2,reset,col)

begin

ifreset=""1"" then

col<=0;

els if(clk2""event andclk2=""1"")then

col<=col+1;

endif;

led_s <= conv_s td_logic_vector(col,3);

a(2downto0)<=conv_s td_logic_vector(col,3);

endproces s;

proces s(clk1,page)

begin

if(clk1""event andclk1=""1"")then

page<=page+1;

endif;

a(6downto3)<=conv_s td_logic_vector(page,4);

endproces s;

ad<= "ZZZZZZZZ";oe <= ""0"";

memcs <= ""0"";rd<= ""0"";

wr<= ""1"";selmled<=""1"";cs0809<= ""1"";

a(15downto7)<="100000000";

endled_arch;

3 實(shí)驗(yàn)驗(yàn)證

通過(guò)使用EDA工具軟件MAX+PLUSⅡ中的仿真器, 對(duì)通過(guò)編譯的字符滾動(dòng)顯示器的VHDL設(shè)計(jì)進(jìn)行了模擬仿真驗(yàn)證, 證明FPGA的內(nèi)核硬件工作良好。此外, 將VHDL 程序下載到由上海航虹高科技公司生產(chǎn)的EDA實(shí)驗(yàn)箱上后, 能正確顯示存放于EPROM 中的字符。從而說(shuō)明了在硬件系統(tǒng)中字符滾動(dòng)和顯示掃描情況也均正常, 驗(yàn)證了設(shè)計(jì)的正確性。

4 結(jié)束語(yǔ)

本設(shè)計(jì)為顯示單個(gè)字符系統(tǒng), 若要同時(shí)顯示多個(gè)字符時(shí), 可以加入多個(gè)LED 點(diǎn)陣即可。同時(shí)采用外部譯碼器時(shí), 可以控制的字符數(shù)將大大增加, 但須注意時(shí)鐘CLK的頻率需要提高, 以眼睛看到整個(gè)字符的不閃爍為基準(zhǔn)。此外, 由于FPGA的驅(qū)動(dòng)能力有限, 當(dāng)點(diǎn)陣LED 顯示亮度不夠時(shí), 需要為L(zhǎng)ED 點(diǎn)陣添加驅(qū)動(dòng)電路( 如高壓大電流達(dá)林頓陣列集成電路) 以提高的LED顯示亮度。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專(zhuān)欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車(chē)的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車(chē)技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車(chē)工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車(chē)。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車(chē) 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱(chēng),數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱(chēng)"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉