當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]本文首先介紹利用自動(dòng)化綜合工具在編碼和綜合的階段完成用于HDTV芯片設(shè)計(jì)的優(yōu)化。由于Verilog代碼的好壞會(huì)直接影響到綜合的結(jié)果,所以在設(shè)計(jì)代碼的階段就應(yīng)該把綜合的要求考慮進(jìn)去。其次介紹該HDTV芯片的特點(diǎn)和結(jié)構(gòu),重

本文首先介紹利用自動(dòng)化綜合工具在編碼和綜合的階段完成用于HDTV芯片設(shè)計(jì)的優(yōu)化。由于Verilog代碼的好壞會(huì)直接影響到綜合的結(jié)果,所以在設(shè)計(jì)代碼的階段就應(yīng)該把綜合的要求考慮進(jìn)去。其次介紹該HDTV芯片的特點(diǎn)和結(jié)構(gòu),重點(diǎn)考慮HDTV芯片的結(jié)構(gòu)復(fù)雜導(dǎo)致綜合的困難及解決方法。最后,介紹了如何把HDTV芯片用綜合工具Design Compiler將設(shè)計(jì)優(yōu)化,使延遲從-0.94降到0.11。

  VerilogHDL綜合性設(shè)計(jì)

  1 時(shí)鐘安排

  選用上升沿觸發(fā)的單時(shí)鐘信號(hào),盡量不使用混合觸發(fā)的時(shí)鐘信號(hào)。因?yàn)闀r(shí)鐘周期在時(shí)序分析的過程中是關(guān)鍵問題,它還影響到時(shí)鐘的頻率。使用簡(jiǎn)單的時(shí)鐘結(jié)構(gòu)利于時(shí)鐘信號(hào)的分析和保持,避免在時(shí)鐘信號(hào)上添加buffer,還利于得到更好的綜合結(jié)果。圖1給出了上升沿觸發(fā)的單時(shí)鐘信號(hào)結(jié)構(gòu)。

  

 

  盡量避免使用門控時(shí)鐘。時(shí)鐘門控電路通常與工藝和時(shí)序有關(guān),錯(cuò)誤的時(shí)序關(guān)系會(huì)導(dǎo)致錯(cuò)誤的時(shí)鐘和脈沖干擾。時(shí)鐘的skew會(huì)導(dǎo)致hold time的混亂,如圖2所示。此外,門控時(shí)鐘會(huì)使設(shè)計(jì)的可測(cè)試性下降。

  

 

  同時(shí),要避免使用內(nèi)部的寄生時(shí)鐘和寄生reset。寄生時(shí)鐘不能作為掃描鏈的一部分,所以會(huì)使設(shè)計(jì)的可測(cè)試性下降,綜合約束的設(shè)計(jì)難度提高。只有一些低功耗的設(shè)計(jì)需要門控時(shí)鐘,在頂層模塊中注意要把時(shí)鐘或reset電路作為分立模塊。

  2 綜合代碼

  使用可綜合的代碼可以提高電路的可測(cè)試性,簡(jiǎn)化靜態(tài)時(shí)序分析,使門級(jí)的電路和初始的寄存器級(jí)代碼功能一致。

  利用寄存器代替組合邏輯的反饋,避免使用鎖存器(Latches)。寄存器受到時(shí)序邏輯的青睞,它可以維持一致性和綜合的正確性。在設(shè)計(jì)中用reset信號(hào)來初始化寄存器的信號(hào)。在Verilog中不要使用initial語(yǔ)句對(duì)信號(hào)進(jìn)行初始化。

  在每個(gè)always塊中,指定完整的敏感信號(hào)列表。如果不指定完整的敏感信號(hào),行為級(jí)的前端綜合和后端綜合網(wǎng)表的結(jié)果會(huì)不符。綜合工具在elaborate設(shè)計(jì)時(shí)會(huì)給出警告。若增加多余的敏感信號(hào)則會(huì)降低仿真的速度。另外,注意阻塞性賦值和非阻塞性賦值的問題,阻塞性賦值一般用于時(shí)序電路中。

  Case語(yǔ)句相當(dāng)于一個(gè)單層的多路器;If-then-else語(yǔ)句相當(dāng)于一個(gè)層疊的組合多路器。單一多路器的速度會(huì)快一些,所以通常建議使用case語(yǔ)句。避免使用full-case 和parallel_case,這兩種語(yǔ)句會(huì)導(dǎo)致在仿真和綜合過程中代碼的解釋出現(xiàn)差異。

  編寫時(shí)序邏輯的代碼要包括狀態(tài)機(jī)和一個(gè)時(shí)序的進(jìn)程,通過在進(jìn)程外用assign語(yǔ)句來生成復(fù)雜的內(nèi)部中間變量從而改進(jìn)代碼的可讀性。使用define語(yǔ)句來定義狀態(tài)向量。把有限狀態(tài)機(jī)和非有限狀態(tài)機(jī)放在不同的模塊中有利于綜合。

  在RTL代碼中不要使用任何延遲常量。延遲量不僅會(huì)導(dǎo)致在一些環(huán)境中的不正確,還會(huì)使得仿真和綜合的結(jié)果不一致,擾亂RTL仿真器代碼的優(yōu)化。
3 代碼劃分

 

 

  為了得到更好的綜合結(jié)果,更快的綜合速度,用簡(jiǎn)單的綜合策略來滿足時(shí)序的要求,推薦使用以下綜合劃分的技術(shù)。

  ● 所有模塊都使用寄存器輸出。對(duì)于每個(gè)設(shè)計(jì)的子模塊都要記錄所有的輸出信號(hào),這樣可以簡(jiǎn)化綜合的過程并可預(yù)測(cè)輸出的驅(qū)動(dòng)能力和輸入的延遲。

  ● 把局部的相關(guān)聯(lián)的組合邏輯放到同一個(gè)模塊中,對(duì)于有不同目標(biāo)的設(shè)計(jì)應(yīng)放在不同的模塊中。例如在綜合的過程中,把需要優(yōu)化面積和速度的關(guān)鍵路徑邏輯放在分開的兩個(gè)模塊中,如圖3所示。

  

 

  ● 綜合時(shí)間的劃分最主要的標(biāo)準(zhǔn)是邏輯功能、設(shè)計(jì)目標(biāo)、時(shí)序和面積的需要。準(zhǔn)確的時(shí)序計(jì)算和適當(dāng)?shù)募s束對(duì)綜合時(shí)間的影響遠(yuǎn)遠(yuǎn)大于電路規(guī)模的影響。把同一設(shè)計(jì)目標(biāo)的電路邏輯放到一起也會(huì)減少綜合時(shí)間,而設(shè)計(jì)的約束過多會(huì)增加綜合時(shí)間。減少綜合時(shí)間的關(guān)鍵是在設(shè)計(jì)之前制定精確的時(shí)間預(yù)算,并使設(shè)計(jì)的宏模塊達(dá)到預(yù)算的要求,然后編寫綜合約束來滿足預(yù)算,最后是運(yùn)用綜合工具的命令來實(shí)現(xiàn)約束。

  ● 避免時(shí)序異常。時(shí)序異常主要包括multicycle path和false path。如果設(shè)計(jì)中一定要用到多周期路徑,應(yīng)記錄開始和結(jié)束點(diǎn)來確保在芯片級(jí)的有效。盡量避免使用異步邏輯,異步邏輯會(huì)給設(shè)計(jì)的正確性和驗(yàn)證帶來困難。

  ● 注意glue模塊的放置。將頂層的連接模塊放到底層模塊中,同時(shí)確保頂層含有I/O管腳和時(shí)鐘發(fā)生器,如圖4所示。

  

 

  HDTV芯片的特點(diǎn)

  所設(shè)計(jì)的芯片應(yīng)用了數(shù)量眾多的不同類型的RAM,其中包括內(nèi)部1個(gè)單口RAM、2個(gè)雙口RAM、3個(gè)ROM和20個(gè)寄存器堆棧。

  芯片內(nèi)部要求多時(shí)鐘信號(hào)(27MHz、74MHz、150MHz),并通過clock mux來選中時(shí)鐘。27MHz時(shí)鐘用于dma模塊中的PCI總線時(shí)鐘,同時(shí)它和74MHz時(shí)鐘通過模式選擇來確定是HDTV模式還是SDTV模式的解碼。Pll核心時(shí)鐘頻率為13.5MHz,Pll輸入時(shí)鐘經(jīng)過11倍頻后產(chǎn)生148.5MHz時(shí)鐘,pll時(shí)鐘同時(shí)也用于測(cè)試。此外還有6個(gè)驅(qū)動(dòng)外部芯片的輸出時(shí)鐘,即PCI時(shí)鐘、視頻時(shí)鐘、2個(gè)SDRAM時(shí)鐘和2個(gè)SRAM時(shí)鐘。

  為了得到較高的測(cè)試覆蓋率,本設(shè)計(jì)使用多種測(cè)試方法,如掃描鏈(scan chain)、邊界掃描(boundary scan)和存儲(chǔ)器的內(nèi)建自測(cè)試(Bist)等。本設(shè)計(jì)多數(shù)模塊采用BIST方法達(dá)到測(cè)試目的,采用的是Mentor Mbistarchitect工具來自動(dòng)插入BIST代碼。其他部分用Mentor Jtag工具來實(shí)現(xiàn)邊界掃描,插入JTAG代碼。

  芯片外部與高速的SDRAM和SRAM的連接,每個(gè)模塊都包括4片RAM。HDTV芯片主要通過sdr_ssr_sel信號(hào)來實(shí)現(xiàn)兩種環(huán)境的轉(zhuǎn)換。

  如圖5所示,HDTV芯片的結(jié)構(gòu)設(shè)計(jì)方案層次復(fù)雜,芯片主要分為三層,其中core_top是不依賴于工藝的,它的主要功能是完成HDTV碼流的解碼。

  

 

  如上所述,芯片的這些特點(diǎn)給后端的布局布線提出了很高的要求,綜合結(jié)果會(huì)直接影響布局布線(floorplanning),因此綜合的方法很重要。

  綜合方案

  1 初步綜合

  首先把設(shè)計(jì)進(jìn)行粗略的Top-down綜合,查看綜合結(jié)果報(bào)表。根據(jù)PDK的數(shù)據(jù)設(shè)置基本的Design Rules和Design Constraints。包括Setting Design Environment(Fanout load,Output load,Input drive impedance)和Setting Design Constraints(Design Rules Constraints (max_transition, max_fanout, max_capacitance),Timing Constraint (max_delay, min_delay),Area Constraint)。經(jīng)過初步綜合后延遲的結(jié)果如表1所示。

  

 

  表1給出的slack=-0.94是在沒有考慮wireload的情況下的結(jié)果,所以還需要很大改進(jìn)。

  圖6是綜合后用design_vision對(duì)critical path進(jìn)行統(tǒng)計(jì),得到的path slack分布結(jié)果。

  


2 基本解決方案

 

  根據(jù)上述統(tǒng)計(jì)結(jié)果,得到core-top模塊不滿足時(shí)序要求的critical path最多,所以要通過把core-top模塊單獨(dú)進(jìn)行優(yōu)化來得到更佳的綜合結(jié)果。首先設(shè)置Design Environment和Design Rules,然后對(duì)延遲進(jìn)行優(yōu)化。

  Design Compiler對(duì)設(shè)計(jì)的時(shí)序優(yōu)化是基于所指定的延遲約束進(jìn)行的。影響延遲的約束包括時(shí)鐘、輸入和輸出延遲、外部負(fù)載、輸入單元的驅(qū)動(dòng)能力、運(yùn)行環(huán)境和線負(fù)載模型等。解決延遲問題的具體方法如下。

  ● 使用set_false_path命令。包含兩個(gè)以上時(shí)鐘的設(shè)計(jì)中,在沒有關(guān)聯(lián)的時(shí)鐘之間要設(shè)置false路徑,否則就會(huì)浪費(fèi)更長(zhǎng)的運(yùn)行時(shí)間和更高的內(nèi)存占用率。

  ● 使用ungroup命令,拆分底層模塊。

  ● 用set_critical_range命令定義關(guān)鍵路徑的優(yōu)化范圍。

  ● 用set_cost_priority-delay命令設(shè)置延遲的優(yōu)先級(jí)高于設(shè)計(jì)約束的優(yōu)先級(jí)。

  ● 用set_ultra_optimization命令,可以用調(diào)用邏輯復(fù)制和門映射的算法來編譯。

  ● Compile incremental命令是在原有的綜合的基礎(chǔ)上,改進(jìn)設(shè)計(jì)中不滿足約束的部分,保留滿足約束條件的部分。

  ● Compile-map_effort-high命令中,-high與-medium和-low相比需要編譯時(shí)間更長(zhǎng),但是能得到更佳的綜合結(jié)果。這個(gè)設(shè)置可以使關(guān)鍵路徑再次綜合。

  3 綜合結(jié)果

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉