當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]0 引言數(shù)字搶答器控制系統(tǒng)在現(xiàn)今許多工廠、學(xué)校和電視臺(tái)等單位所舉辦的各種知識(shí)競(jìng)賽中起著不可替代的作用?;贓DA技術(shù)設(shè)計(jì)的電子搶答器,以其價(jià)格便宜、安全可靠、使用方便而受到了人們的普遍歡迎。本文以現(xiàn)場(chǎng)可編程

0 引言

數(shù)字搶答器控制系統(tǒng)在現(xiàn)今許多工廠、學(xué)校和電視臺(tái)等單位所舉辦的各種知識(shí)競(jìng)賽中起著不可替代的作用?;贓DA技術(shù)設(shè)計(jì)的電子搶答器,以其價(jià)格便宜、安全可靠、使用方便而受到了人們的普遍歡迎。本文以現(xiàn)場(chǎng)可編程邏輯器件(FPGA)為設(shè)計(jì)載體,以硬件描述語(yǔ)言VHDL為主要表達(dá)方式,以O(shè)uartusⅡ開(kāi)發(fā)軟件和GW48EDA開(kāi)發(fā)系統(tǒng)為設(shè)計(jì)工具設(shè)計(jì)的電子搶答器,具有搶答鑒別與鎖存功能以及60秒答題限時(shí)功能、對(duì)搶答犯規(guī)的小組進(jìn)行警告和對(duì)各搶答小組進(jìn)行相應(yīng)的成績(jī)加減操作等功能。

1 電子搶答器的功能

該電子搶答器實(shí)現(xiàn)的功能主要包括四項(xiàng)操作:

(1)第一搶答信號(hào)的鑒別和鎖存

該電子搶答器共設(shè)4個(gè)組別,每組控制一個(gè)搶答開(kāi)關(guān),分別為a,b,c,d。在主持人發(fā)出搶答指令后,若有參賽者按搶答器按鈕,則該組指示燈亮,同時(shí)顯示器顯示出搶答者的組別。同時(shí),電路處于自鎖狀態(tài),以使其他組的搶答器按鈕不起作用。

(2)計(jì)時(shí)功能

在初始狀態(tài)時(shí)。主持人可以設(shè)置答題時(shí)間的初時(shí)值。在主持人對(duì)搶答組別進(jìn)行確認(rèn),并給出倒計(jì)時(shí)計(jì)數(shù)開(kāi)始信號(hào)以后,搶答者便可開(kāi)始回答問(wèn)題。此時(shí),顯示器從初始值開(kāi)始倒計(jì)時(shí),計(jì)至0時(shí)停止計(jì)數(shù),同時(shí)揚(yáng)聲器發(fā)出超時(shí)報(bào)警信號(hào)。若參賽者在規(guī)定的時(shí)間內(nèi)回答完問(wèn)題,主持人即可給出計(jì)時(shí)停止信號(hào),以免揚(yáng)聲器鳴叫。

(3)計(jì)分功能

在初始狀態(tài)時(shí),主持人可以給每組設(shè)置初始分值。每組搶答完后,由主持人打分,答對(duì)一次加1分,答錯(cuò)一次減1分。

(4)犯規(guī)設(shè)置

對(duì)提前搶答者和超時(shí)搶答者給予蜂鳴警示,并顯示犯規(guī)組別。

2 電子搶答器的結(jié)構(gòu)原理

2.1 電子搶答器的整體結(jié)構(gòu)

電子搶答器的整體結(jié)構(gòu)如圖1所示。它包括鑒別與鎖存模塊、定時(shí)與犯規(guī)設(shè)置模塊以及計(jì)分模塊。

2.2 鑒別與鎖存模塊設(shè)計(jì)

鑒別與鎖存模塊的主要功能是用于判斷a、b、c、d四個(gè)組別搶答的先后,記錄最先搶答的組別號(hào)碼,并且不再接受其它輸入信號(hào),而對(duì)最先搶答的組別鎖存,同時(shí)顯示最先搶答的組別。

根據(jù)以上功能要求,該模塊的源程序必須包含四個(gè)搶答輸入信號(hào)?,F(xiàn)將其信號(hào)分別設(shè)為a、b、c、d;搶答時(shí)必須要有一個(gè)允許開(kāi)始搶答信號(hào),將其信號(hào)設(shè)為STa,該信號(hào)輸入后,其輸出指示燈亮,以便選手知道允許搶答信號(hào)已發(fā)出,故可設(shè)置一個(gè)sta的輸出指示燈信號(hào)為star-t;為了鑒別最先搶答者,可分別設(shè)置a、b、c、d組的輸出指示燈為led_a、led_b、led_c、led_d,同時(shí)設(shè)置顯示最先搶答組別號(hào)碼的輸出信號(hào)為states[3..0];為了使系統(tǒng)進(jìn)入重新?lián)尨馉顟B(tài),還需要設(shè)置一個(gè)系統(tǒng)復(fù)位信號(hào),可將其設(shè)為rst。其鑒別與鎖存模塊的仿真波形如圖2所示。

通過(guò)圖2可以看出,當(dāng)rst=1時(shí),系統(tǒng)處于初始狀態(tài),此時(shí)所有輸入均無(wú)效;當(dāng)rst=O且sta=O時(shí),搶答無(wú)效;而當(dāng)rst=O且sta=1時(shí),start指示燈亮,d組為最先有效搶答組別,led_d指示燈亮,并顯示搶答成功組別d組為“0100”。通過(guò)圖2的仿真圖及分析說(shuō)明,可見(jiàn)其鑒別與鎖存模塊的功能設(shè)計(jì)正確。

2.3 電子搶答器定時(shí)與犯規(guī)模塊設(shè)計(jì)

定時(shí)與犯規(guī)模塊的主要功能是用來(lái)對(duì)答題限時(shí)。當(dāng)?shù)褂?jì)時(shí)時(shí)間計(jì)為0時(shí),系統(tǒng)將輸出報(bào)警信號(hào),以對(duì)提前搶答者給予蜂鳴警示并顯示犯規(guī)組別號(hào)碼。

根據(jù)以上功能設(shè)計(jì)要求,該模塊需要設(shè)置一個(gè)倒計(jì)數(shù)器來(lái)限制答題時(shí)間,可將其信號(hào)設(shè)為time[7..0]。為了使倒計(jì)時(shí)器能夠開(kāi)始或停止工作,應(yīng)設(shè)置一個(gè)計(jì)時(shí)使能輸入信號(hào)en;為了確定是否有選手提前搶答或超時(shí)答題,可將允許搶答信號(hào)sta和四個(gè)搶答輸入(a、b、c、d)、顯示搶答成功組別states[3..0]、系統(tǒng)時(shí)鐘信號(hào)clk_1hz等作為輸入信號(hào),而將犯規(guī)報(bào)警器信號(hào)alarm和犯規(guī)組別顯示offender作為輸出信號(hào)。為了使蜂鳴器停止報(bào)警或使系統(tǒng)重新進(jìn)入有效搶答狀態(tài),應(yīng)設(shè)置系統(tǒng)復(fù)位輸入信號(hào)rst。定時(shí)與犯規(guī)模塊的仿真波形如圖3所示,其中圖3(a)為搶答犯規(guī)及暫停計(jì)時(shí)控制功能的仿真,圖3(b)為答題犯規(guī)控制功能的仿真。

通過(guò)圖3(a)可以看出,當(dāng)rst=1時(shí),搶答無(wú)效,倒計(jì)時(shí)器初始值設(shè)為60s;當(dāng)rst=O,且sta=O時(shí),d組提前搶答,報(bào)警器開(kāi)始報(bào)警,offen-der顯示犯規(guī)組別“0100”,說(shuō)明提前犯規(guī)組別為d組。此后主持人按下rst鍵,使rst=1,此時(shí)報(bào)警器停止報(bào)警,系統(tǒng)進(jìn)入初始狀態(tài);而當(dāng)rs-t=O且sta=1,a組搶答成功,計(jì)時(shí)使能信號(hào)en=1。當(dāng)時(shí)鐘信號(hào)clk_lhz的上升沿來(lái)時(shí),倒計(jì)時(shí)器開(kāi)始計(jì)時(shí),當(dāng)a組在限定時(shí)間內(nèi)回答完問(wèn)題,主持人按下計(jì)時(shí)使能信號(hào),使en=O,倒計(jì)時(shí)器停止計(jì)時(shí),同時(shí)防止報(bào)警器報(bào)警。

而通過(guò)圖3(b)可以看出,當(dāng)rst=O,sta=1時(shí),a組搶答成功,但沒(méi)在限定時(shí)間內(nèi)回答完問(wèn)題,60s倒計(jì)時(shí)時(shí)間計(jì)為O時(shí),報(bào)警器開(kāi)始報(bào)警,offender顯示犯規(guī)組別為“0001”,說(shuō)明超時(shí)犯規(guī)組別為a組;主持人按下復(fù)位鍵,使rst=l,報(bào)警器停止報(bào)警,offender顯示“0000”,即將犯規(guī)組別的號(hào)碼清零,系統(tǒng)重新進(jìn)入初始狀態(tài)。

2.4 計(jì)分模塊的設(shè)計(jì)

計(jì)分模塊的主要功能是對(duì)搶答成功并答對(duì)的組別進(jìn)行加分操作或?qū)尨鸪晒Φ疱e(cuò)的組別進(jìn)行減分操作,同時(shí)通過(guò)譯碼顯示電路顯示出來(lái)。根據(jù)以上的功能設(shè)計(jì)要求,該模塊需要將加、減分操作add、sub和系統(tǒng)時(shí)鐘clk_lhz作為輸入信號(hào),而各組別的分?jǐn)?shù)顯示作為輸出信號(hào)aa0[3..0]、bb0[3..0]、cc0[3..o]、dd0[3..0];為了確定給哪個(gè)組別加或減分,需要有一個(gè)搶答成功組別的輸入信號(hào),可將其設(shè)為chose。為了使系統(tǒng)能進(jìn)入下一輪的搶答,應(yīng)設(shè)置系統(tǒng)復(fù)位輸入信號(hào)rst。其計(jì)分模塊的仿真模型如圖4所示。

通過(guò)圖4可以看出,當(dāng)rst=l時(shí),系統(tǒng)進(jìn)入初始狀態(tài),a、b、c、d組的初始分值都為5,當(dāng)add=1,系統(tǒng)時(shí)鐘信號(hào)clk_lhz的一個(gè)上升沿到來(lái)時(shí),就給chose當(dāng)前鑒別的組別“0001”組加1分,當(dāng)sub=1,系統(tǒng)時(shí)鐘信號(hào)clk_lhz來(lái)一個(gè)上升沿時(shí),就給chose當(dāng)前鑒別的組別“0010”組減1分。

3 電子搶答器的硬件驗(yàn)證

本設(shè)計(jì)選用杭州康芯電子有限公司生產(chǎn)的GW48EDA系統(tǒng)作為硬件驗(yàn)證系統(tǒng),同時(shí)選用Altera公司的EPlK30TCl44-3作為主控芯片。該主控芯片是一種基于查找表結(jié)構(gòu)的現(xiàn)場(chǎng)可編程邏輯器件,它的基本邏輯單元是可編程的查找表,能夠?qū)崿F(xiàn)組合邏輯運(yùn)算,并能用可編程寄存器實(shí)現(xiàn)時(shí)序邏輯運(yùn)算。設(shè)計(jì)時(shí),只需要對(duì)電子搶答器整體設(shè)計(jì)中的輸入輸出引腳作引腳鎖定,然后重新編譯、下載,就可以進(jìn)行電子搶答器的硬件驗(yàn)證了。實(shí)驗(yàn)表明:本設(shè)計(jì)能夠?qū)崿F(xiàn)電子搶答器的全部功能。

4 結(jié)束語(yǔ)

本文以現(xiàn)場(chǎng)可編程邏輯器件(FPGA)為設(shè)計(jì)載體,以硬件描述語(yǔ)言(VHDL)為主要表達(dá)方式,以QuartusⅡ開(kāi)發(fā)軟件和GW48EDA開(kāi)發(fā)系統(tǒng)為設(shè)計(jì)工具設(shè)計(jì)了一種具有第一搶答信號(hào)鑒別和鎖存、計(jì)時(shí)和計(jì)分,并可對(duì)提前搶答和超時(shí)搶答進(jìn)行蜂鳴警示等功能的電子搶答器。闡述了電子搶答器的工作原理和軟硬件實(shí)現(xiàn)方法。并對(duì)電子搶答器的各部分模塊進(jìn)行了時(shí)序仿真和硬件驗(yàn)證,結(jié)果表明,該電路能夠?qū)崿F(xiàn)其所要求的功能。另外,由于FPGA芯片體積小,功耗低,價(jià)格便宜,安全可靠,稍加修改就可以改變搶答器的搶答組別數(shù),而且搶答時(shí)間設(shè)定和成績(jī)組成方式以及維護(hù)和升級(jí)都比較方便,同時(shí)也很容易做成ASIC芯片,因而具有較好的應(yīng)用前景。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉