當(dāng)前位置:首頁 > EDA > 電子設(shè)計(jì)自動化
[導(dǎo)讀]摘要:基于Altera公司FPGA芯片EP2C8Q208,嵌入MC8051 IP Core,用C語言對MC8051 IP Core進(jìn)行編程,以其作為控制核心,實(shí)現(xiàn)系統(tǒng)控制。在FPGA芯片中,利用Verilog HDL語言進(jìn)行編程,設(shè)計(jì)了以MC8051 IP Core為核心的控制

摘要:基于Altera公司FPGA芯片EP2C8Q208,嵌入MC8051 IP Core,用C語言對MC8051 IP Core進(jìn)行編程,以其作為控制核心,實(shí)現(xiàn)系統(tǒng)控制。在FPGA芯片中,利用Verilog HDL語言進(jìn)行編程,設(shè)計(jì)了以MC8051 IP Core為核心的控制模塊、計(jì)數(shù)模塊、鎖存模塊和LCD顯示模塊等幾部分,實(shí)現(xiàn)了頻率的自動測量,測量范圍為0.1Hz~50MHz,測量誤差0.01%。并實(shí)現(xiàn)測頻率、周期、占空比等功能。
關(guān)鍵詞:FPGA;IP Core;等精度測頻;LCD;VHD

    頻率是電信號中重要的物理量,在電子、通信系統(tǒng)中,信號的頻率穩(wěn)定度決定了整個系統(tǒng)的性能,準(zhǔn)確測量信號的頻率是系統(tǒng)設(shè)計(jì)的重要內(nèi)容。
    單片機(jī)廣泛地應(yīng)用于電子系統(tǒng)設(shè)計(jì),其性價(jià)比高,大量的外圍接口電路,使基于單片機(jī)的電子系統(tǒng)設(shè)計(jì)方便,周期縮短。然而,單片機(jī)的串行工作特點(diǎn)決定了它的低速性和程序跑飛,另外還存在抗干擾能力不強(qiáng)等缺點(diǎn)。EDA(Electronic Design Automation)技術(shù)以計(jì)算機(jī)為工具,在Quartus II軟件平臺上,對以硬件描述語言Verilog HDL/VHDL為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動地完成邏輯編譯、化簡、綜合及優(yōu)化、邏輯仿真,直至對特定目標(biāo)芯片的適配、編譯、邏輯映射和編程下載等工作,F(xiàn)PGA是純硬件結(jié)構(gòu),具有較強(qiáng)的抗干擾能力。
    文中在FPGA芯片中嵌入MC8051 IP Core,作為控制核心,利用Verilog HDL語言進(jìn)行編程,設(shè)計(jì)了以MC8051 IPCore為核心的控制模塊、計(jì)數(shù)模塊、鎖存模塊和LCD顯示模塊等模塊電路,采用等精度測量法,實(shí)現(xiàn)了頻率的自動測量,測量范圍為0.1 Hz~50 MHz,測量誤差小于0.01%。

1 8051IP(Intelligent Property)軟核
   
8051單片機(jī)是以由VQM原碼(Verilog Quartus Mapping File)表達(dá)的,在QuartusII環(huán)境下能與VHDL、Verilog HDL等其他硬件描述語言混合編譯綜合,并在單片F(xiàn)PGA中實(shí)現(xiàn)全部硬件系統(tǒng)。
    MC8051單片機(jī)核含有8位復(fù)雜指令CPU,存儲器采用哈佛結(jié)構(gòu),其結(jié)構(gòu)框圖如圖1所示。


    MC8051的指令系統(tǒng)與8051/2、8031/2等完全兼容,硬件部分也基本相同,例如可接64KB外部存儲器,可接256字節(jié)內(nèi)部數(shù)據(jù)RAM,含兩個16位定時(shí)/計(jì)數(shù)器,全雙工串口,含節(jié)省功耗工作模式,中斷響應(yīng)結(jié)構(gòu)等。不同之處主要有:
    1)MC8051是以網(wǎng)表文件的方式存在的,只有通過編譯綜合,并載入FPGA中才以硬件的方式工作,而普通8051總是以硬件方式存在的;
    2)MC8051無內(nèi)部ROM和RAM,所有程序ROM和內(nèi)部RAM都必須外接。
    3)以軟核方式存在能進(jìn)行硬件修改和編輯;能對其進(jìn)行仿真和嵌入式邏輯分析儀實(shí)現(xiàn)實(shí)時(shí)時(shí)序測試;能根據(jù)設(shè)計(jì)者的意愿將CPU、RAM、ROM、硬件功能模塊和接口模塊等實(shí)現(xiàn)于同一片F(xiàn)PGA中(即SOC)。
    4)與普通8051不同,MC8051的4個I/O口是分開的。
    MC8051核在接上了ROM和RAM后就成為一個完整的8051或8052單片機(jī)了,MC8051核實(shí)用系統(tǒng)的最基本構(gòu)建頂層原理圖如圖2所示,主要由4個部件構(gòu)成。


    1)MC8051核。CPU_Core即MC8051單片機(jī)核如圖3所示,由VQM原碼表述:CPU_Core.vqm,可以直接凋用。該元件可以與其他不同語言表述的元件一同綜合與編譯。


    2)嵌入式鎖相環(huán)PLL50。其輸入頻率設(shè)置為50 MHz,MC8051能接受的工作時(shí)鐘頻率上限取決于FPCA的速度級別。
    3)程序ROM,LPM_ROM。采用ROM容量的大小也取決于FPGA所含的嵌入RAM的大小。設(shè)置的ROM容量是4k字節(jié)。此ROM可以加載HEX格式文件作為單片機(jī)的程序代碼。HEX程序代碼可以直接使用普通8051單片機(jī)程序編譯器生成。
    4)數(shù)據(jù)RAM,LPM_RAM。本系統(tǒng)設(shè)置的LPM_RAM容量是256字節(jié)。高128字節(jié)須用間接尋址方式訪問。

2 等精度測頻原理及FPGA設(shè)計(jì)
   
等精度測量的一個最大特點(diǎn)是測量的實(shí)際門控時(shí)間不是一個固定值,而是一個與被測信號有關(guān)的值,剛好是被測信號的整數(shù)倍,即與被測信號同步。這樣就達(dá)到了在整個測試頻段的等精度測量。等精度測頻的核心思想就是通過閘門的信號與被測信號同步,將閘門時(shí)間τ控制為被測信號周期長度的整數(shù)倍。測量時(shí),先打開預(yù)置閘門,當(dāng)檢測到被測信號脈沖沿到達(dá)時(shí),標(biāo)準(zhǔn)信號時(shí)鐘開始計(jì)數(shù)。預(yù)置閘門關(guān)閉時(shí),到達(dá)時(shí)才停止,完成被測信號整數(shù)個周期的測量。測量的實(shí)際閘門時(shí)間與預(yù)置閘門時(shí)間可能不完全相同,但最大差值不超過被測信號的一個周期。設(shè)實(shí)際閘門時(shí)間為τ,被測信號周期數(shù)為Nx,標(biāo)準(zhǔn)信號頻率為fs、計(jì)數(shù)值為Ns,則被測信號的頻率測量值為:
   
    由于實(shí)際閘門時(shí)間τ為被測信號周期的整數(shù)倍,因此Nx是精確的,而標(biāo)準(zhǔn)信號時(shí)鐘的計(jì)數(shù)值Ns則存在誤差△Ns(|△Ns|≤1),即標(biāo)準(zhǔn)信號計(jì)數(shù)的真實(shí)值應(yīng)Ns+△Ns。
    由此可知被測信號的頻率真實(shí)值為:
   
    可以看出,相對誤差與被測信號本身的頻率特性無關(guān),即對整個測量頻率域而言,測量精度相等,因而稱之為“等精度測量”。標(biāo)準(zhǔn)信號的計(jì)數(shù)值Ns越大,則測量相對誤差越小,即提高門限時(shí)間τ和標(biāo)準(zhǔn)信號頻率fs可以提高測量精度。在精度不變的情況下,提高標(biāo)準(zhǔn)信號頻率可以縮短門限時(shí)間,提高測量速度。在計(jì)數(shù)允許時(shí)間內(nèi),同時(shí)對標(biāo)準(zhǔn)信號和被測信號進(jìn)行計(jì)數(shù),再通過數(shù)學(xué)公式推導(dǎo)得到被測信號的頻率。由于門控信號是被測信號的整數(shù)倍,就消除了對被測信號產(chǎn)生的±1誤差,但是會產(chǎn)生對標(biāo)準(zhǔn)信號±1的誤差。如圖4所示。


    系統(tǒng)中,采用了標(biāo)準(zhǔn)信號源的精度很高,可以達(dá)到一個很高的測量精度,本系統(tǒng)采用晶體作為標(biāo)準(zhǔn)信號源,因此可以達(dá)到很高的精度。

3 FPAG設(shè)計(jì)模塊
   
本系統(tǒng)以Verilog HDL硬件描述語言為工具,在傳統(tǒng)的等精度測量原理基礎(chǔ)上進(jìn)行了改進(jìn)和優(yōu)化。增加了測量占空比的功能,同時(shí)由FPGA內(nèi)部產(chǎn)生清零信號,節(jié)省了資源。FPGA核心模塊如圖5所示。FPGA部分主要由門控信號產(chǎn)生模塊、計(jì)數(shù)器控制模塊、計(jì)數(shù)器模塊、鎖存器、中斷輸出、數(shù)據(jù)選擇輸出、頂層模塊組成。


    門控信號:時(shí)鐘信號源產(chǎn)生頻率很高的時(shí)鐘信號,經(jīng)過分頻以后,得到頻率為1 Hz、1 kHz、1 MHz和50 MHz 4種時(shí)鐘信號,這些信號作為時(shí)基電路輸入信測量開始,TW為1s,計(jì)數(shù)器對被測信號fsin進(jìn)行計(jì)數(shù),如果計(jì)數(shù)超過規(guī)定值9999,產(chǎn)生溢出信號OVERFLOW,在其作用下,量程轉(zhuǎn)換電路輸出信號SW狀態(tài)發(fā)生變化,自動完成一次量程的換擋,同時(shí)將TW調(diào)整為0.1s,計(jì)數(shù)器重新計(jì)數(shù)。如果還有溢出信號,繼續(xù)量程換擋,調(diào)整TW,直到不再有溢出信號為止。其中74160接成了一個同步四進(jìn)制加法計(jì)數(shù)器,如圖6所示。它的時(shí)鐘輸入端CLK與計(jì)數(shù)器輸出端OVERFLOW(溢出)連接,在溢出信號作用下,量程轉(zhuǎn)換電路輸出端S1、S0依次輸出00、01、10和11 4個編碼,實(shí)現(xiàn)自動換擋。


    計(jì)數(shù)器控制模塊:門控信號啟動(上升沿)后,在被測信號的上升沿啟動計(jì)數(shù)允許模塊,允許計(jì)數(shù)器計(jì)數(shù);門控信號關(guān)閉(下降沿)后,在被測信號的下一個上升沿關(guān)閉計(jì)數(shù)允許模塊,停止計(jì)數(shù),從而保證了門控信號是被測信號的整數(shù)倍,達(dá)到了等精度的目的。
    計(jì)數(shù)器模塊:在設(shè)計(jì)計(jì)數(shù)器的過程中需要注意計(jì)數(shù)器的寬度設(shè)置,系統(tǒng)中采用的最大門控時(shí)間為10 s,標(biāo)準(zhǔn)信號源的頻率為50 MHz,則在計(jì)數(shù)的允許時(shí)間內(nèi)計(jì)數(shù)的最大值為:10 50 000 000=500 000 000<229=536 870 912,為了方便數(shù)據(jù)傳輸,系統(tǒng)中采用了32位位寬的計(jì)數(shù),如圖7所示,仿真波形如圖8所示。


    鎖存器模塊:在門控信號關(guān)閉的同時(shí),停止計(jì)數(shù),同時(shí)啟動鎖存模塊,把測量的數(shù)據(jù)鎖存起來,以便傳輸。
    中斷輸出:鎖存數(shù)據(jù)的同時(shí),給單片機(jī)一個低電平的中斷信號,通知單片機(jī)讀取數(shù)據(jù)。該模塊用于鎖存計(jì)數(shù)器輸出計(jì)數(shù)值,供51IP軟核讀取,進(jìn)行處理顯示。計(jì)數(shù)器模塊在門控信號關(guān)閉(下降沿)的同時(shí),停止計(jì)數(shù),同時(shí)啟動鎖存模塊,把測量的數(shù)據(jù)鎖存起來,以便傳輸,鎖存電路如圖9所示。


    數(shù)據(jù)選擇輸出:系統(tǒng)中采用了2個32位的計(jì)數(shù)器,由于單片機(jī)采用的是51系列單片機(jī),只有8位的數(shù)據(jù)總線,所以一次通信只能傳輸8位數(shù)據(jù),所以設(shè)計(jì)了一個數(shù)據(jù)輸出控制模塊。


    頂層模塊:實(shí)例化所有的底層模塊。FPGA部分的整體結(jié)構(gòu)圖如圖10所示。

4 MC8051 IP Core軟件設(shè)計(jì)流程
   
系統(tǒng)軟件設(shè)計(jì)流程如圖11所示。


    中斷服務(wù)子程序如圖12所示。



5 結(jié)論
   
文中采用Altera的cyclone II系列的EP2C8Q208C8這款FPGA芯片。設(shè)計(jì)中使用了Verilog語言對各個模塊的描述設(shè)計(jì)。文中提出的數(shù)字頻率計(jì)設(shè)計(jì)方案采用等精度的測量算法,以先進(jìn)的FPGA可編程邏輯器件作為核心控制及運(yùn)算電路單元可達(dá)到很高的測量精度要求,8051IPCore嵌入到FPGA芯片系統(tǒng),與測頻模塊共用同一個FPGA芯片,和傳統(tǒng)的頻率計(jì)相比大大減小了電路板的尺寸,同時(shí)增加了系統(tǒng)的可靠性、設(shè)計(jì)靈活性和可更改性。實(shí)現(xiàn)了數(shù)字系統(tǒng)的軟件化。加入LCD液晶顯示,使測量效果更加直觀。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉