當前位置:首頁 > 工業(yè)控制 > 電子設計自動化

摘 要: 介紹了約束設置與邏輯綜合在SoC設計中的應用,并以一款SoC芯片ZSU32的設計為例,詳細討論了系統(tǒng)芯片的約束設置與邏輯綜合策略。
關鍵詞: 系統(tǒng)芯片;邏輯綜合;約束設置;時序

系統(tǒng)芯片SoC是目前超大規(guī)模集成電路設計的發(fā)展趨勢,其集成度高、功能復雜、時序要求嚴格。邏輯綜合是SoC設計不可缺少的一環(huán),它是將抽象的以硬件描述語言所構造的模型轉(zhuǎn)化為具體的門級電路網(wǎng)表的過程。邏輯綜合的質(zhì)量直接影響芯片所能達到的性能,因而在綜合過程中必須根據(jù)設計要求在時序、面積和功耗方面設置正確的約束。
本文針對中山大學ASIC設計中心自主開發(fā)的一款系統(tǒng)芯片ZSU32,以Synopsys公司的Design Compiler為綜合工具,探索了對SoC芯片進行綜合的設計流程和方法,特別對綜合過程的時序約束進行了詳細討論,提出了有效的綜合約束設置方案。
1 時序約束原理
同步電路是大多數(shù)集成電路系統(tǒng)的主流選擇。同步電路具有工作特性簡單、步調(diào)明確、抗干擾能力強等特點。但是,因為所有的時序元件受控于一個特定的時鐘,所以數(shù)據(jù)的傳播必須滿足一定的約束以便能夠保持與時鐘信號步調(diào)一致。
圖1是一個典型的局部路徑,它需要滿足兩方面的條件:防止數(shù)據(jù)太遲到達目的寄存器導致數(shù)據(jù)不能正確保存;防止新的數(shù)據(jù)過早到達導致覆蓋了前一數(shù)據(jù)。

設置建立時間(setup time)約束可以滿足第一個條件:

2 ZSU32系統(tǒng)芯片的結構
ZSU32芯片內(nèi)置32 bit MIPS體系處理器作為CPU,具備兩路獨立的指令和數(shù)據(jù)高速緩存,CPU內(nèi)部有獨立的DSP協(xié)處理器和浮點協(xié)處理器,同時集成了LCD控制器、MPEG硬件加速器、AC97控制器、SRAM控制器、NAND Flash控制器、SATA高速硬盤控制器、以太網(wǎng)MAC控制器等,并具有I2C、I2S、SPI、、UART、GPIO等多種接口模塊。圖2是ZSU32的總體結構。

3 ZSU32系統(tǒng)芯片的約束設置與邏輯綜合
采用Design Compiler工具對ZSU32進行邏輯綜合的基本流程如圖3所示。

ZSU32系統(tǒng)芯片的綜合采取自底向上的策略,先局部后整體。首先將當前工作層次設置為系統(tǒng)芯片的某個子模塊,然后對該子模塊添加各項具體約束,接著完成子模塊的綜合。依次對各子模塊重復上述綜合流程,當各個模塊都順利通過了初次綜合后,通過set_dont_touch_
network命令將模塊中的關鍵路徑和時鐘線網(wǎng)保護起來,然后做一次全局優(yōu)化,檢查是否滿足時序等各方面的設計要求,達到要求就可以輸出最終的網(wǎng)表和各項綜合報告。
3.1 設定工藝庫和參考庫
設置Design Compiler運行所使用的庫:目標庫(target_library)、鏈接庫(link_library)、可綜合庫(synthetic_library)、符號庫(symbol_library)。其中的目標庫中包含了標準單元庫、RAM單元庫、I/O單元庫、PLL單元庫等,通常是由芯片代工廠家提供。系統(tǒng)芯片ZSU32采用的是中芯國際的0.18 ?滋m CMOS工藝庫,所以在設置時就把目標庫指向該工藝庫。
#設置目標工藝庫
set target_library SMIC.db
3.2 讀入RTL設計與設置工作環(huán)境
讀入RTL設計通常有自頂向下或者自底向上2種方式。因為ZSU32模塊眾多,所以采用自底向上的讀入方式。首先讀入各個子模塊,并分別編譯;然后更改層次,編譯上一層的模塊;最后會合成整個系統(tǒng)。
讀入設計后,首先設置芯片的工作環(huán)境,根據(jù)采用的工藝庫提供的環(huán)境和線網(wǎng)負載模型,可以通過set_operating_condition和set_wire_load_model命令進行設置。以下是ZSU32綜合環(huán)境的頂層環(huán)境設置:
#設置工作環(huán)境
set_operating_condition smic18_typ;
#設置線網(wǎng)負載模型
set_wire_load_model smic18_wl30;
3.3 時序約束
3.3.1 時鐘定義
時鐘是整個時序約束的起點。系統(tǒng)芯片ZSU32將外部輸入時鐘和PLL模塊輸入時鐘作為源時鐘:ext_clk_i和pll_clk_i。通過對這2個源時鐘信號的分頻或者倍頻,產(chǎn)生了各個子模塊的時鐘信號。
#定義源時鐘ext_clk,周期16 ns
create_clock-name ext_clk-period
16 [get_ports {ext_clk_i}];
在SoC芯片內(nèi)部,子模塊的時鐘實際是經(jīng)過源時鐘分頻或者倍頻得到的,使用create_generated_clock命令來建立子模塊時鐘。
#設置一個2倍頻時鐘clk_main,
#其源時鐘是pll_clk_i
create_generated_clock -name clk_main
-multiply_by 2 -source pll_clk_i;
3.3.2 多時鐘域約束
時序檢查默認以一個時鐘周期為界,但對于ZSU32系統(tǒng)芯片,存在著一些多周期路徑,在這些路徑上,數(shù)據(jù)不需要在單時鐘周期內(nèi)到達終點。例如,clk30mhz和clk10mhz是同源的同步時鐘,前者頻率是后者的3倍,對從clk10mhz時鐘域向clk30mhz時鐘域傳輸數(shù)據(jù)的路徑,采用如下命令:
#按照3個周期(clk30mhz)進行
#建立時間約束
set_multicycle_path 3 -setup -start
-from clk10mhz -to clk30mhz;
對于異步時鐘域之間的路徑,不用進行同步的時序檢驗,應該將其定義為偽路徑(false path),這樣在邏輯綜合時就不必浪費資源去優(yōu)化。
#將異步時鐘e_clk和p_clk 之間的路徑設置為偽路徑
set_false_path -from e_clk –to p_clk;
set_false_path -from p_clk -to e_clk;
3.3.3 時鐘偏移
芯片中時鐘經(jīng)過不同的傳輸路徑,由于每條路經(jīng)延時不一,導致從時鐘源到達各個寄存器的始終輸入端的相位差。這種由于空間分布而產(chǎn)生的偏差叫做時鐘傾斜(clock skew)。此外,由于溫漂、電子漂移的隨機性,使時鐘信號的邊沿可能超前也可能滯后。這種具有時間不確定性的偏移稱為時鐘抖動(clock jitter)。偏移導致時鐘信號到達各個觸發(fā)器的時鐘引腳的時間不一致,需要給予約束。
#設置時鐘偏移為0.4 ns
set_clock_uncertainty 0.4 [all_clocks];
3.4 端口約束
SoC芯片通過大量輸入和輸出端口與外界進行信息的傳輸,端口約束主要用于約束頂層端口相連的片內(nèi)組合邏輯,包括確定輸入延時、輸出延時、輸出負載、輸出扇出負載、輸入信號躍遷時間等。
3.4.1 端口延時
輸入延時是指外部邏輯到電路輸入端口的路徑延時。輸出延時是指輸出端口到外部寄存器的路徑延時。圖4是輸入輸出延時示意圖。

設置范例如下:
#設置端口pci_ad13的輸入延時為4.8 ns
set_input_delay 4.8 -clock clk_main
[get_ports {pci_ad13}];
#設置端口pci_ad16的輸出延時為3.6 ns
set_output_delay 3.6 -clock clk_main
[get_ports{pci_ad16};
3.4.2 端口的驅(qū)動與負載
端口的驅(qū)動和負載特性通過設置輸入驅(qū)動單元、輸入輸出負載值以及信號躍遷時間等來描述。范例如下:
#設置端口a7的驅(qū)動單元是BUFX2
set_drive_cell -lib_cell BUFX2 -pin
[get_ports {a7}];
#設置端口d17的負載值為20 pf
set_load -pin_load 20 [get_ports {d17}];
#設置端口d0的輸入信號上升時間是0.5 ns
set_input_transition -rise -min 0.5
[get_ports {d0}];
3.5 面積和功耗約束
Design Compiler的綜合以時序優(yōu)先,即優(yōu)化完約束后才根據(jù)約束優(yōu)化面積和功耗。初次綜合時很難對面積進行評估,所以在第一次綜合時設置優(yōu)化目標為0,表示在滿足時序約束的情況下最大努力地減小面積。待綜合報告出來之后,根據(jù)初步的面積和功耗報告,修改數(shù)值,從而進一步優(yōu)化。
#面積設置
set_max_area 0;
#功耗的約束做類似的處理:
set_max_total_power 0;
3.6 綜合結果
根據(jù)上述綜合流程和約束設置,ZSU32系統(tǒng)芯片在邏輯綜合后滿足了時序約束,同時為后續(xù)物理設計提供了較好的起點。圖5是ZSU32時序分析報告的一部分。

從報告中看到,該路徑起點是i_ZSU32_top/i_eth2_top/miim1/clkgen/U42/Y,終點是i_ZSU32_top/i_eth2_top/miim1/shftrg/ShiftReg_reg_1_,路徑的時序裕量是2.96 ns。
ZSU32采用中芯國際0.18 μm CMOS標準單元庫進行了邏輯綜合和版圖設計實現(xiàn),6層金屬布線,已成功流片。電路綜合規(guī)模為200萬門,所有cell的面積為19 195 460 μm2,芯片總面積小于5 mm×5 mm。
參考文獻
[1] Synopsys.Design Compiler User Guide,Version Y-2008.06,Synopsys.
[2] BHATNAGAR H.Advanced ASIC chip synthesis using synopsys design compiler physical compiler and prime time (second edition).Kluwer Academic Publishers,2002.
[3] RABAEY J M.Anantha chandrakasan,borivoje nikolic.Digital Integrated CircuitsA Design Perspective(Senond Edition)(影印版).北京:清華大學出版社,2004,3.

本站聲明: 本文章由作者或相關機構授權發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務中斷的風險,如企業(yè)系統(tǒng)復雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務連續(xù)性,提升韌性,成...

關鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關鍵字: 華為 12nm EDA 半導體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權最終是由生態(tài)的繁榮決定的。

關鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務引領增長 以科技創(chuàng)新為引領,提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術學會聯(lián)合牽頭組建的NVI技術創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術創(chuàng)新聯(lián)...

關鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關鍵字: BSP 信息技術
關閉
關閉