當(dāng)前位置:首頁 > 單片機(jī) > 單片機(jī)
[導(dǎo)讀]一、實(shí)驗(yàn)?zāi)康氖煜だ肣uartusⅡ的圖形編輯輸入法設(shè)計(jì)簡單組合電路,掌握層次化設(shè)計(jì)方法,并通過8位全加器的設(shè)計(jì),進(jìn)一步熟悉利用EDA軟件進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)的流程。二、實(shí)驗(yàn)儀器與器材計(jì)算機(jī)1臺,GW48-PK2S實(shí)驗(yàn)箱1臺,

一、實(shí)驗(yàn)?zāi)康?/p>

熟悉利用QuartusⅡ的圖形編輯輸入法設(shè)計(jì)簡單組合電路,掌握層次化設(shè)計(jì)方法,并通過8位全加器的設(shè)計(jì),進(jìn)一步熟悉利用EDA軟件進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)的流程。

二、實(shí)驗(yàn)儀器與器材

計(jì)算機(jī)1臺,GW48-PK2S實(shí)驗(yàn)箱1臺,QuartusⅡ6.0 1套。

三、實(shí)驗(yàn)內(nèi)容

1. 基本命題

利用圖形輸入法設(shè)計(jì)一個(gè)一位半加器和全加器,再利用級聯(lián)方法構(gòu)成8位加法器。

2. 擴(kuò)展命題

利用文本輸入法設(shè)計(jì)4位并行進(jìn)位加法器,再利用層次設(shè)計(jì)方法構(gòu)成8位加法器。通過時(shí)序仿真,比較兩種加法器的性能。

四、實(shí)驗(yàn)設(shè)計(jì)思路

按照如圖2-1,2-2,2-3設(shè)計(jì)半加器、全加器、串行級聯(lián)加法器

① 設(shè)計(jì)半加器

圖2-1半加器設(shè)計(jì)圖

② 設(shè)計(jì)全加器

圖2-2全加加器設(shè)計(jì)圖

③ 設(shè)計(jì)串行級聯(lián)8位加法器

圖2-3串行級聯(lián)8位加法器設(shè)計(jì)圖

④ 仿真波形圖

對以上的串行級聯(lián)加法器進(jìn)行仿真。設(shè)置時(shí)鐘頻率為/1/10ns。每20ns對a,b輸入口進(jìn)行+2操作。所得結(jié)果見圖2-8。由圖可知延時(shí)大約為14ns。

圖2-4串行級聯(lián)加法器仿真波形圖

對以上的串行級聯(lián)加法器進(jìn)行仿真。設(shè)置時(shí)鐘頻率為/2.0us。每10us對a,b輸入口進(jìn)行+2操作。所得結(jié)果見圖2-4。由圖可知延時(shí)大約為10us。

五、實(shí)驗(yàn)要求

將實(shí)驗(yàn)原理、設(shè)計(jì)過程、編譯仿真波形和分析結(jié)果、硬件測試實(shí)驗(yàn)結(jié)果寫進(jìn)實(shí)驗(yàn)報(bào)告。

六、實(shí)驗(yàn)思考題

(1)與單一設(shè)計(jì)文件比較,實(shí)現(xiàn)層次化設(shè)計(jì)應(yīng)注意哪些問題?

答:實(shí)現(xiàn)層次化設(shè)計(jì)需要注意的是:假設(shè)B設(shè)計(jì)中引用A設(shè)計(jì),那么需要將A設(shè)計(jì)的工程文件放在B設(shè)計(jì)的工程文件中,另外,B設(shè)計(jì)的工程必須要以B的實(shí)體名稱對應(yīng),不然仿真的時(shí)候會(huì)出錯(cuò)。

(2)比較圖形編輯和文本編輯兩種8位二進(jìn)制加法器的性能,分析它們的主要異同點(diǎn)。以下是文本編輯的參考程序。

1) 4位二進(jìn)制數(shù)加法器ADDER4B的VHDL描述

LIBRARY IEEE;


USE IEEE.STD_LOGIC_1164.ALL;


USE IEEE.STD_LOGIC_UNSIGNED.ALL;


ENTITY ADDER4B IS


PORT ( CIN4 : IN STD_LOGIC;


A4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);


B4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);


S4 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);


COUT4 : OUT STD_LOGIC);


END ADDER4B;


ARCHITECTURE behav OF ADDER4B IS


SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0);


SIGNAL AA,BB : STD_LOGIC_VECTOR(4 DOWNTO 0);


BEGIN


AA<='0'&A4;


BB<='0'&B4;


SINT <= AA + BB + CIN4;


S4 <= SINT(3 DOWNTO 0);


COUT4 <= SINT(4);


END behav;


圖2-9ADDER4B仿真波形圖

對以上的ADDER8B進(jìn)行仿真。設(shè)置時(shí)鐘頻率為/2.0us。每10us對a,b輸入口進(jìn)行+2操作。所得結(jié)果見圖2-9。由圖可知延時(shí)大約為20us。

2)應(yīng)用ADDER4B設(shè)計(jì)8位二進(jìn)制數(shù)加法器ADDER8B的VHDL描述


LIBRARY IEEE;


USE IEEE.STD_LOGIC_1164.ALL;


USE IEEE.STD_LOGIC_UNSIGNED.ALL;


ENTITY ADDER8B IS


PORT ( CIN : IN STD_LOGIC;


A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);


B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);


S : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);


COUT : OUT STD_LOGIC );


END ADDER8B;


ARCHITECTURE struc OF ADDER8B IS


COMPONENT ADDER4B


PORT ( CIN4 : IN STD_LOGIC;


A4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);


B4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);


S4 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);


COUT4 : OUT STD_LOGIC );


END COMPONENT;


SIGNAL CARRY_OUT : STD_LOGIC;


BEGIN


U1 : ADDER4B -- 例化一個(gè)4位二進(jìn)制加法器U1


PORT MAP ( CIN4 => CIN, A4 => A(3 DOWNTO 0),


B4 => B(3 DOWNTO 0), S4 => S(3 DOWNTO 0),


COUT4 => CARRY_OUT );


U2 : ADDER4B --例化一個(gè)4位二進(jìn)制加法器U2


PORT MAP ( CIN4 => CARRY_OUT, A4 => A(7 DOWNTO 4),


B4 => B(7 DOWNTO 4), S4 => S(7 DOWNTO 4),COUT4 => COUT );


END struc;


圖2-10ADDER8B仿真波形圖

對以上的ADDER8B進(jìn)行仿真。設(shè)置時(shí)鐘頻率為/2.0us。每10us對a,b輸入口進(jìn)行+2操作。所得結(jié)果見圖2-10。由圖可知延時(shí)大約為20us。

實(shí)驗(yàn)錯(cuò)誤小結(jié):

Quartus中仿真時(shí)出現(xiàn)no simulation input file assignment specify 解決方法

這個(gè)錯(cuò)誤的意思是:仿真文件沒有被指定,要仿真的話先要建一個(gè)仿真文件:

情況1、file -> new -> 選擇Other file選項(xiàng)卡 -> Vector Waveform File

然后把輸入輸出端口加進(jìn)去,再設(shè)置輸入的信號,保存,就可以仿真了。

情況2、如果你之前已經(jīng)建立過了,就打開assignments->settings->simulator settings

看里面的有個(gè)文本框 simulation input 里面是否為空,為空的話就要找到你所建立的Vector Waveform File 文件,是以*.VMF結(jié)尾的,如果沒找到,你又以為你建立了Vector Waveform File ,很可能粗心的你還沒保存Vector Waveform File ,保存了才會(huì)在project里面找到。


本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉