當(dāng)前位置:首頁(yè) > 單片機(jī) > 單片機(jī)
[導(dǎo)讀]Lpc1788自帶有emc接口用于驅(qū)動(dòng)nandflash,norflash,sdram設(shè)備,對(duì)于nandflash驅(qū)動(dòng)因?yàn)榕渲煤?jiǎn)單,時(shí)序也簡(jiǎn)單 首先,針對(duì)nandflash而言應(yīng)當(dāng)在系統(tǒng)中有三個(gè)地址,分別是數(shù)據(jù)讀寫(xiě)地址,命令讀寫(xiě)地址以及地址設(shè)置地址,這三個(gè)地址

Lpc

1788自帶有emc接口用于驅(qū)動(dòng)nandflash,norflash,sdram設(shè)備,對(duì)于nandflash驅(qū)動(dòng)因?yàn)榕渲煤?jiǎn)單,時(shí)序也簡(jiǎn)單

首先,針對(duì)nandflash而言應(yīng)當(dāng)在系統(tǒng)中有三個(gè)地址,分別是數(shù)據(jù)讀寫(xiě)地址,命令讀寫(xiě)地址以及地址設(shè)置地址,這三個(gè)地址都需要更具電路圖設(shè)置,電路圖如下

根據(jù)這張圖可以看到,CLE地址線也就是命令鎖存線為高的時(shí)候,地址為命令地址,ALE也就是地址鎖存線為高,地址為地址鎖存線,當(dāng)CLE和ALE都為低但是CE選中的時(shí)候地址為數(shù)據(jù)地址,那CS1代表的數(shù)據(jù)地址是多少呢,需要根據(jù)1788的地址分配來(lái)判斷

當(dāng)CS1選中的時(shí)候系統(tǒng)總線在0X90000000,因?yàn)锳24 A25的存在,不難分析出,0x91000000為地址鎖存使能,0x92000000為命令鎖存使能,(因?yàn)闆](méi)有其他的地址線連接,所以,其他的地址位都設(shè)置為0),

對(duì)nandflash的操作主要有下面幾種

單純命令

對(duì)0x92000000直接賦予相應(yīng)的命令,也就是

*0x92000000 = cmd,然后等待系統(tǒng)響應(yīng)就可以了,有時(shí)候系統(tǒng)有響應(yīng),比如讀取狀態(tài),讀取ID等,有的沒(méi)有響應(yīng),例如復(fù)位命令

對(duì)于有響應(yīng)的命令,,直接等待芯片完成操作之后讀取地址線數(shù)據(jù)就可以了,也就是,

Returnvalue = *(0x90000000)

寫(xiě)入操作

說(shuō)起寫(xiě)入操作,就必須要說(shuō)一說(shuō)nand的內(nèi)部數(shù)據(jù)分區(qū),首先,nand的數(shù)據(jù)分區(qū)是以塊進(jìn)行的,類(lèi)似下圖

也就是說(shuō),一塊nandflash的實(shí)際容量的計(jì)算是塊數(shù)量*頁(yè)數(shù)量*主數(shù)據(jù)區(qū)域大小+(附加數(shù)據(jù)區(qū)域大小)

但是在實(shí)際工程應(yīng)用中,附加數(shù)據(jù)區(qū)域是不會(huì)用來(lái)存放用戶(hù)數(shù)據(jù)的,主要用來(lái)存儲(chǔ)數(shù)據(jù)的ECC效驗(yàn)信息以及壞塊信息,部分廠商在芯片出廠的時(shí)候會(huì)進(jìn)行一次芯片完整性校驗(yàn),對(duì)出廠就已經(jīng)存在的壞塊,會(huì)在第一頁(yè)和第二頁(yè)的附加數(shù)據(jù)區(qū)域存放一個(gè)數(shù)據(jù)0xff(nand flash指標(biāo)只要壞塊不超過(guò)40就可以買(mǎi)賣(mài))

針對(duì)我使用的nand,塊數(shù)量為1024 頁(yè)數(shù)量為64,主數(shù)據(jù)區(qū)域存放2048byte數(shù)據(jù)

,所以用戶(hù)實(shí)際可使用的空間為128mBYTE,但是存儲(chǔ)設(shè)備一般用bit做單位,也就是1GBIT

對(duì)nandflash進(jìn)行讀取的時(shí)候,寫(xiě)入一個(gè)三十二位的地址,這個(gè)地址里面包括了幾個(gè)數(shù)據(jù)

要讀寫(xiě)的塊是哪一個(gè)塊

要讀寫(xiě)的頁(yè)是哪一頁(yè)

要讀寫(xiě)的數(shù)據(jù)位于一頁(yè)中的哪一個(gè)字節(jié)

最后合成地址

地址的低16位為數(shù)據(jù)頁(yè)內(nèi)地址,高16位為塊和頁(yè)的地址(注意,這個(gè)計(jì)算出的地址還得加上基礎(chǔ)地址0x90000000)

寫(xiě)入的過(guò)程,nandflash一個(gè)讀出命令分為兩個(gè)字節(jié),先發(fā)送第一個(gè)字節(jié)的命令,然后寫(xiě)入32位的地址,然后寫(xiě)入讀取命令的第二個(gè)字節(jié),接下來(lái)讀取數(shù)據(jù)(注意,讀取數(shù)據(jù)是以頁(yè)為單位進(jìn)行的,當(dāng)讀取到頁(yè)尾的時(shí)候就不能在進(jìn)行讀取了,要從新寫(xiě)入地址)

寫(xiě)入操作

Nandflash有一個(gè)特性就是擦除必須以塊為單位,寫(xiě)入必須以頁(yè)為單位,同時(shí),寫(xiě)入的過(guò)程中他只能將數(shù)據(jù)從0變成1,不能將數(shù)據(jù)從1編程0(只有擦除才能將數(shù)據(jù)從1變成0),所以我們變成的時(shí)候必須保證要寫(xiě)的這一頁(yè)必須是已經(jīng)擦除過(guò),里面的數(shù)據(jù)都是0才能保證我們寫(xiě)入的數(shù)據(jù)被接受,若是沒(méi)有擦除過(guò)的數(shù)據(jù),寫(xiě)入數(shù)據(jù)之后必然會(huì)失敗

寫(xiě)入的時(shí)候同樣是32位地址,其中,頁(yè)內(nèi)相對(duì)地址為0,只需要塊地址和頁(yè)地址,先寫(xiě)入編程命令1,在寫(xiě)入32位地址,在寫(xiě)入編程命令2,接著寫(xiě)入頁(yè)空間大小的數(shù)據(jù),編程完成

以上就是nand的讀些過(guò)程,當(dāng)然,lpc1788還需要配置之后才能使用emc的接口,過(guò)程如下

設(shè)置相應(yīng)的IO口功能,使相應(yīng)的IO口對(duì)應(yīng)emc

打開(kāi)emc的時(shí)鐘

設(shè)置幾個(gè)重要的參數(shù)…總線位寬,總線有效電平,還有一些時(shí)間配置參數(shù)

片選到寫(xiě)使能的延遲時(shí)間

片選到數(shù)據(jù)輸出使能的延時(shí)時(shí)間

片選到寫(xiě)入的延時(shí)

順序讀取的時(shí)候每一次讀取之間的延時(shí)

設(shè)置好這些參數(shù)之后稍微延時(shí)一會(huì),就能進(jìn)行nand的操作了

代碼如下


#ifndef__NANDFLASH_H_#define__NANDFLASH_H_#include"common.h"#include"debugserial.h"#include"delay.h"http://nandflash使用cs1//reday/busyp2.21//alep5.1//clep5.0//wep4.25//oep4.24//cep4.27//命令地址#defineK9F1G_CLE((volatileuint8_t*)0x92000000)//命令鎖存使能地址#defineK9F1G_ALE((volatileuint8_t*)0x91000000)//地址鎖存使能地址#defineK9F1G_DATA((volatileuint8_t*)0x90000000)//數(shù)據(jù)地址//nand命令列表#defineK9FXX_READ_10x00//讀數(shù)據(jù)第一個(gè)周期命令#defineK9FXX_READ_20x30//讀數(shù)據(jù)第二個(gè)周期命令#defineK9FXX_READ_COPYBACK_10x00//讀取數(shù)據(jù)用于copyback#defineK9FXX_READ_COPYBACK_20x35//copyback指令2#defineK9FXX_READ_ID0x90//讀取ID#defineK9FXX_RESET0xFF//復(fù)位#defineK9FXX_BLOCK_PROGRAM_10x80//頁(yè)編程第一周期指令#defineK9FXX_BLOCK_PROGRAM_20x10//頁(yè)編程第二周期地址#defineK9FXX_BLOCK_ERASE_10x60//塊擦除第一周期命令#defineK9FXX_BLOCK_ERASE_20xD0//塊擦除第二周期命令#defineK9FXX_READ_STATUS0x70//讀狀態(tài)70H//芯片ID#defineK9FXX_ID0xF1009540/*Byte3and2only*///nand狀態(tài)#defineK9FXX_BUSY(1<<6)#defineK9FXX_OK(1<<0)//相關(guān)定義#defineNANDFLASH_BASE_ADDR0x00000000//起始地址#defineNANDFLASH_NUMOF_BLOCK1024//nand一共擁有的塊數(shù)量#defineNANDFLASH_RW_PAGE_SIZE2048//每頁(yè)2048個(gè)存儲(chǔ)字節(jié)#defineNANDFLASH_SPARE_SIZE64//每頁(yè)64個(gè)spare的備用空間(用于頁(yè)數(shù)據(jù)的ECC校驗(yàn)和存放)#defineNANDFLASH_PAGE_PER_BLOCK64//一個(gè)塊的中page數(shù)量#defineNANDFLASH_PAGE_FSIZE(NANDFLASH_RW_PAGE_SIZE+NANDFLASH_SPARE_SIZE)//每一頁(yè)的實(shí)際大小(byte)#defineNANDFLASH_BLOCK_RWSIZE(NANDFLASH_RW_PAGE_SIZE*NANDFLASH_PAGE_PER_BLOCK)//每一頁(yè)可讀取的空間#defineNANDFLASH_BLOCK_FSIZE(NANDFLASH_PAGE_FSIZE*NANDFLASH_PAGE_PER_BLOCK)//實(shí)際上每一頁(yè)的空間//可讀取空間=2048(一頁(yè)存儲(chǔ)字節(jié))*64(頁(yè)數(shù)量)*1024(塊數(shù)量)=128MB//實(shí)際空間=(2048+64)*64*1024=132MB#defineNANDFLASH_INVALIDBLOCK_CHECK_COLUMM(2048)//支持塊校驗(yàn)數(shù)#defineNAND_WAIT_BUSY_MAX_TIME100//MS等待響應(yīng)時(shí)間voidnand_init(void);voidnand_reset(void);u8nand_wait_ready(void);u32nand_read_id(void);u8nand_read_status(u32cmd);u8nand_block_erase(u32block_num);u8nand_page_program(u32blocknum,u32pagenum,u8*buffer);u8nand_check(void);u8nand_page_read(u32pagenum,u32blocknum,u8*buffer);u32nand_page_read_from_begin(u32block,u32page,u8*buffer);u32nand_page_read_from_addr(u32blocknum,u32pagenum,u32addrinpage,u8*buffer,u32readsize);u32nand_read_form_addr(u32addrInWholeNand,u8*buffer,u32size);#endif#include"nandflash.h"/*********************************************************************//***獲取相應(yīng)的io配置寄存器指針**********************************************************************/staticu32*PIN_GetPointer(u8portnum,u8pinnum){u32*pPIN=NULL;pPIN=(u32*)(LPC_IOCON_BASE+((portnum*32+pinnum)*sizeof(u32)));returnpPIN;}/*********************************************************************//***配置IO口功能**********************************************************************/staticvoidPINSEL_ConfigPin(u8portnum,u8pinnum,u8funcnum){u32*pPIN=NULL;pPIN=PIN_GetPointer(portnum,pinnum);*pPIN&=0x00000007;//Clearfunctionbits*pPIN|=funcnum;}//初始化nand接口,主要是初始化IO口以及初始化EMC外設(shè)voidnand_init(){LPC_SC->SCS|=(1<<0);//emc地址不移位//打開(kāi)emc時(shí)鐘與端口時(shí)鐘LPC_SC->PCONP|=(1<<15)|(1<<11);//打開(kāi)時(shí)鐘LPC_SC->EMCDLYCTL=0x00001010;//延時(shí)時(shí)間初始化LPC_EMC->Control=0x00000001;//emc使能LPC_EMC->Config=0x00000000;//emc配置清零,小端模式//我們選用的nand使用的外部引腳主要有//P3.0-P3.7P5.0P5.1P4.24P4.25P4.31P2.21//配置IO寄存器//d0--d7PINSEL_ConfigPin(3,0,1);PINSEL_ConfigPin(3,1,1);PINSEL_ConfigPin(3,2,1);PINSEL_ConfigPin(3,3,1);PINSEL_ConfigPin(3,4,1);PINSEL_ConfigPin(3,5,1);PINSEL_ConfigPin(3,6,1);PINSEL_ConfigPin(3,7,1);//clealePINSEL_ConfigPin(5,0,1);PINSEL_ConfigPin(5,1,1);PINSEL_ConfigPin(4,24,1);//OEPINSEL_ConfigPin(4,25,1);//WEPINSEL_ConfigPin(4,31,1);//CS1//初始化忙引腳為輸入模式PINSEL_ConfigPin(2,21,0);P2dir(21)=0;LPC_EMC->Control=(1<<0)|(1<<1);//使能emc并復(fù)位存儲(chǔ)器映射LPC_EMC->StaticConfig1&=~(3<<0);//設(shè)置總線寬度八位LPC_EMC->StaticConfig1|=(1<<7);//設(shè)置讀寫(xiě)有效電平,讀為低電平LPC_EMC->StaticWaitWen1&=~(7<<0);LPC_EMC->StaticWaitWen1|=(2<<0);//設(shè)置片選到寫(xiě)使能的延時(shí)時(shí)間LPC_EMC->StaticWaitOen1&=~(7<<0);LPC_EMC->StaticWaitOen1|=(2<<0);//設(shè)置片選到輸出使能的延時(shí)LPC_EMC->StaticWaitWr1&=~(0x1f<<0);LPC_EMC->StaticWaitWr1|=(0x1f<<0);//設(shè)置片選到寫(xiě)入的延時(shí)LPC_EMC->StaticWaitPage1&=~(0x1f<<0);LPC_EMC->StaticWaitPage1|=(0x1f<<0);//設(shè)置讀模式順序存取延時(shí)LPC_EMC->StaticWaitRd1&=~(0x1f<<0);LPC_EMC->StaticWaitRd1|=(0x1f<<0);//設(shè)置片選到讀取的延時(shí)LPC_EMC->StaticWaitTurn1&=~(0x1f<<0);LPC_EMC->StaticWaitTurn1|=(0x1f<<0);//設(shè)置總線周轉(zhuǎn)周期DelayMs(2);}//nand復(fù)位voidnand_reset(){volatileu8*pCLE;/*ResetNANDFLASHthroughNANDFLASHcommand*/pCLE=K9F1G_CLE;*pCLE=K9FXX_RESET;DelayMs(2);return;}//等待nand不忙u8nand_wait_ready(){u8waitTime=0;while(P2in(21)==1)/*等待他為高,說(shuō)明我們的操作正在進(jìn)行*/{waitTime++;DelayUs(1);if(waitTime>NAND_WAIT_BUSY_MAX_TIME)return1;}waitTime=0;while(!(P2in(21)==1))/*等待他為低,說(shuō)明操作已經(jīng)完成*/{waitTime++;DelayUs(1);if(waitTime>NAND_WAIT_BUSY_MAX_TIME)return1;}return0;}//讀取nandidu32nand_read_id(){u8b,c,d,e;volatileu8*pCLE;volatileu8*pALE;volatileu8*pDATA;pCLE=K9F1G_CLE;pALE=K9F1G_ALE;pDATA=K9F1G_DATA;*pCLE=K9FXX_READ_ID;*pALE=0;b=*pDATA;//偽讀取無(wú)效b=*pDATA;c=*pDATA;d=*pDATA;e=*pDATA;return((b<<24)|(c<<16)|(d<<8)|e);}//讀取nand狀態(tài)u8nand_read_status(u32cmd){volatileu8*pCLE;volatileu8*pDATA;u8waitTime=0;//等待時(shí)間u8StatusData;pCLE=K9F1G_CLE;pDATA=K9F1G_DATA;*pCLE=K9FXX_READ_STATUS;while((*pDATA&0xC0)!=0xC0)//等待芯片ready并且無(wú)保護(hù)(失敗應(yīng)當(dāng)有錯(cuò)誤處理,暫時(shí)沒(méi)做){waitTime++;DelayUs(1);if(waitTime>NAND_WAIT_BUSY_MAX_TIME)return1;}StatusData=*pDATA;switch(cmd){caseK9FXX_BLOCK_PROGRAM_1://編程或擦除的第二個(gè)指令caseK9FXX_BLOCK_ERASE_1:if(StatusData&0x01)/*Erase/Programfailure(1)orpass(0)*/return1;elsereturn0;caseK9FXX_READ_1:/*bit5and6,Readbusy(0)orready(1)*/return0;default:break;}return(1);}//塊擦除nandu8nand_block_erase(u32block_num){volatileu8*pCLE;volatileu8*pALE;u32rowAddr;pCLE=K9F1G_CLE;pALE=K9F1G_ALE;//計(jì)算地址rowAddr=(NANDFLASH_BASE_ADDR+block_num*NANDFLASH_BLOCK_FSIZE);//得到塊基地址rowAddr=rowAddr-(rowAddr%NANDFLASH_BLOCK_FSIZE);//得到塊偏移地址(頁(yè)地址)*pCLE=K9FXX_BLOCK_ERASE_1;*pALE=(u8)(rowAddr&0x00FF);/*columnaddresslow*/*pALE=(u8)((rowAddr&0xFF00)>>8);/*columnaddresshigh*/*pCLE=K9FXX_BLOCK_ERASE_2;//擦除數(shù)據(jù)nand_wait_ready();return(nand_read_status(K9FXX_BLOCK_ERASE_1));}//每次至少寫(xiě)入2048字節(jié)nand指定頁(yè)編程注意,編程之前需要先擦除的u8nand_page_program(u32blocknum,u32pagenum,u8*buffer){volatileu8*pCLE;volatileu8*pALE;volatileu8*pDATA;u32i,curAddr,curColumm;pCLE=K9F1G_CLE;pALE=K9F1G_ALE;pDATA=K9F1G_DATA;curAddr=NANDFLASH_BASE_ADDR+blocknum*NANDFLASH_BLOCK_FSIZE+pagenum*NANDFLASH_PAGE_FSIZE;curColumm=curAddr%NANDFLASH_PAGE_FSIZE;curAddr-=curColumm;//得到具體地址*pCLE=K9FXX_BLOCK_PROGRAM_1;//編程命令1*pALE=(u8)(curColumm&0x000000FF);/*columnaddresslow*/*pALE=(u8)((curColumm&0x00000F00)>>8);/*columnaddresshigh*/*pALE=(u8)((curAddr&0x00FF0000)>>16);/*rowaddresslow*/*pALE=(u8)((curAddr&0xFF000000)>>24);/*rowaddresshigh*///NotwritetospareareafortheNandFlashvalidblockcheckingfor(i=0;i>8);/*columnaddresshigh*/*pALE=(u8)((curRow&0x00FF0000)>>16);/*rowaddresslow*/*pALE=(u8)((curRow&0xFF000000)>>24);/*rowaddresshigh*/*pCLE=K9FXX_READ_2;nand_wait_ready();for(i=0;i<(NANDFLASH_PAGE_FSIZE-curColumm);i++){*buffer=*pDATA;buffer++;if((i+1)>=size)break;}returni;}//nand讀取指定頁(yè)數(shù)據(jù),數(shù)據(jù)長(zhǎng)度不能大于2048-addrinpageu32nand_page_read_from_addr(u32blocknum,u32pagenum,u32addrinpage,u8*buffer,u32readsize){u32curAddr=0;curAddr+=NANDFLASH_BASE_ADDR+blocknum*NANDFLASH_BLOCK_FSIZE;curAddr+=pagenum*NANDFLASH_PAGE_FSIZE;curAddr+=addrinpage;return(nand_read_form_addr(curAddr,buffer,readsize));}//nand讀取指定的頁(yè)碼全部數(shù)據(jù),數(shù)據(jù)數(shù)量為2048+32u32nand_page_read_from_begin(u32block,u32page,u8*buffer){return(nand_page_read_from_addr(block,page,0,buffer,NANDFLASH_PAGE_FSIZE));}//nand讀取指定頁(yè)嗎全部數(shù)據(jù)u8nand_page_read(u32blocknum,u32pagenum,u8*buffer){return(nand_page_read_from_begin(blocknum,pagenum,buffer)!=0);}//nand檢查,每次檢查每個(gè)bloak的前兩個(gè)page,檢查最后一個(gè)字節(jié)是不是0xffu8nand_check(void){u32invailedBlock=0;u8temp=0;u16i=0;for(i=0;i

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專(zhuān)欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車(chē)的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車(chē)技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車(chē)工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車(chē)。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車(chē) 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶(hù)希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱(chēng),數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱(chēng)"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉