當(dāng)前位置:首頁 > 測試測量 > 測試測量
[導(dǎo)讀]摘要:設(shè)計了一種多通道頻率測量系統(tǒng)。系統(tǒng)由模擬開關(guān)、信號調(diào)理電路、FPGA、總線驅(qū)動電路構(gòu)成,實現(xiàn)對頻率信號的分壓、放大、濾波、比較、測量,具備回路自測試功能,可與主設(shè)備進(jìn)行數(shù)據(jù)交互,具有精度高、可擴(kuò)展、

摘要:設(shè)計了一種多通道頻率測量系統(tǒng)。系統(tǒng)由模擬開關(guān)、信號調(diào)理電路、FPGA、總線驅(qū)動電路構(gòu)成,實現(xiàn)對頻率信號的分壓、放大、濾波、比較、測量,具備回路自測試功能,可與主設(shè)備進(jìn)行數(shù)據(jù)交互,具有精度高、可擴(kuò)展、易維護(hù)的特點,有一定的工程應(yīng)用價值。

頻率測量電路是很多檢測與控制系統(tǒng)的重要組成部分,在航空機(jī)載計算機(jī)領(lǐng)域具有廣泛的應(yīng)用環(huán)境。隨著檢測與控制系統(tǒng)復(fù)雜程度的提高,頻率測量電路也被提出了新的要求,例如多通道實時采集、高精度測量等。FPGA的特點是完全由用戶通過軟件進(jìn)行配置和編程,從而完成某種特定的功能,且可以反復(fù)擦寫,因此,以FPGA為核心進(jìn)行電路搭建已成為當(dāng)前數(shù)字系統(tǒng)設(shè)計的主流方法。本文利用FPGA設(shè)計了一種多通道頻率測量系統(tǒng),易于擴(kuò)展,精度較高,符合實際的需求。

1 系統(tǒng)硬件設(shè)計

系統(tǒng)硬件由模擬開關(guān)、信號調(diào)理電路、FPGA及其外圍電路、總線驅(qū)動電路構(gòu)成。

模擬開關(guān)完成對頻率信號輸入通道的切換,當(dāng)系統(tǒng)處于正常工作狀態(tài)時,外部輸入的正弦信號經(jīng)模擬開關(guān)進(jìn)入后級電路,進(jìn)行頻率測量;當(dāng)系統(tǒng)處于自測試狀態(tài)時,由FPGA產(chǎn)生一個頻率恒定的方波信號,該信號經(jīng)模擬開關(guān)進(jìn)入后級電路進(jìn)行頻率測量,通過對比設(shè)定頻率和測量頻率的一致性來監(jiān)測整個系統(tǒng)是否存在故障點。

信號調(diào)理電路完成對正弦信號的前級處理,設(shè)計準(zhǔn)則是滿足全頻段信號的調(diào)理需求,分以下幾級電路:1)使用3個阻值相同的電阻對正弦信號進(jìn)行1/3分壓,防止高頻信號的幅值超過放大器及比較器的輸入電壓閾值;2)使用儀表放大器對正弦信號進(jìn)行放大,原因是低頻信號的幅值低于比較電壓,如果不進(jìn)行放大就不具備比較意義,而且放大器具有輸出電壓飽和特性,不會造成放大器的輸出電壓超過比較器的輸入電壓閾值;3)使用運(yùn)算放大器及分立的阻容對正弦信號進(jìn)行二階RC濾波;4)使用比較器將正弦信號轉(zhuǎn)換成方波信號,供FPGA采集。

FPGA及其外圍電路是整個測量系統(tǒng)的核心。外圍電路包括以下幾個部分:1)電源轉(zhuǎn)換電路,將5V電源轉(zhuǎn)換為FPGA工作必需的3.3 V及2.5 V電源;2)程序存儲器電路,負(fù)責(zé)存儲可執(zhí)行邏輯代碼,供FPGA工作時調(diào)用;3)JTAG接口電路,方便開發(fā)者進(jìn)行可編程邏輯的燒寫和調(diào)試。FPGA主要完成以下幾個功能:1)產(chǎn)生1路用于系統(tǒng)自測試的幅值為3.3 V、頻率為100 Hz的方波信號;2)進(jìn)行邏輯譯碼,根據(jù)總線指令控制模擬開關(guān)及總線驅(qū)動芯片的動作;3)對輸入信號進(jìn)行數(shù)字濾波,測量信號頻率,并將計算結(jié)果送到數(shù)據(jù)總線上供主設(shè)備采集。

總線驅(qū)動電路是測量系統(tǒng)與主設(shè)備進(jìn)行數(shù)據(jù)交互的橋梁,完成FPGA電平與LBE總線電平之間的相互轉(zhuǎn)換,并配合讀寫時序控制數(shù)據(jù)的流通方向。當(dāng)測量系統(tǒng)不需要與主設(shè)備進(jìn)行通信時,關(guān)閉輸出使能開關(guān),保證測量系統(tǒng)的數(shù)據(jù)不會干擾到總線數(shù)據(jù)。

系統(tǒng)硬件結(jié)構(gòu)框圖如圖1所示。

2 可編程邏輯設(shè)計

2.1 測頻公式

測量頻率的方法主要有兩種:

1)測頻法。在給定時間T(N個基準(zhǔn)信號f0)內(nèi)對被測信號進(jìn)行周期計數(shù),計數(shù)值為M,則被測信號的頻率為:

由于計數(shù)器只能計整數(shù),所以誤差由△M=±1引起,計算結(jié)果的誤差為:

由式(2)可以看出,在時間T一定的情況下,頻率越高,相對誤差越小。

2)測周法。在被測信號一個周期內(nèi)對基準(zhǔn)脈沖f0計數(shù),計數(shù)值為M,則被測信號的頻率為:

由于計數(shù)器只能計整數(shù),所以誤差由△M=±1引起,計算結(jié)果的誤差為:

由式(4)可以看出,在基準(zhǔn)脈沖f0一定的情況下,頻率越低,相對誤差越小。

綜上所述,測頻法比較適合高頻信號,測周法比較適合低頻信號。本系統(tǒng)測量的正弦信號頻率范圍為20~3 300 Hz,為了提高測量精度,選用測周法的思想設(shè)計可編程邏輯電路。

2.2 可編程邏輯設(shè)計

可編程邏輯采用模塊化的設(shè)計思想,根據(jù)不同數(shù)量的通道需求,重復(fù)“調(diào)用”測頻模塊,配置邏輯電路,便于進(jìn)行功能擴(kuò)展。測頻電路的原理如圖2所示,圖中帶有“D”字樣的功能塊表示D觸發(fā)器,帶有“mux”字樣的功能塊表示多路選擇器,帶有“count”或“cnt”字樣的功能塊表示計數(shù)器。

頻率測量的過程主要分為四個步驟:輸入信號同步、數(shù)字濾波、頻率計數(shù)、計數(shù)值輸出。

1)由于輸入被測頻率信號fre_in為異步信號,因此需要經(jīng)過兩級同步器對其進(jìn)行同步處理,得到同步后的頻率信號fre_reg1、fre_ reg2。

2)由于系統(tǒng)時鐘頻率為33 MHz,被測頻率信號的頻率相對較低,為了減少毛刺對頻率測量的影響,同時達(dá)到系統(tǒng)要求的可測頻率范圍,可對同步后的頻率信號進(jìn)行濾波處理,其上限截止頻率設(shè)為3 300 Hz,濾除毛刺信號,生成真實的被測頻率信號。其實現(xiàn)方法為:設(shè)置兩個減法計數(shù)器pos_num和neg_num,分別在fre_reg2的高電子和低電子期間進(jìn)行計數(shù),其初始值均為4999。當(dāng)pos_num和neg_num均可計數(shù)到0時,說明fre_reg2信號的頻率不超過3300Hz,生成真實的被測頻率信號fre_real1信號;如果pos_num和neg_num計數(shù)值不能達(dá)到0,則說明fre_ reg2信號頻率大于3 300 Hz,將被視為毛刺信號被過濾掉。

3)對過濾后的真實被測頻率信號fre_real1進(jìn)行上升沿判斷,以確定頻率計數(shù)的起始與結(jié)束,控制頻率計數(shù)器的計數(shù)與輸出過程。

4)計數(shù)值輸出過程中,需設(shè)置count_delay來判斷頻率信號的周期是否大于1s,如果成立,則認(rèn)定外部無頻率信號輸入,輸出值置為NAN(表示無窮大)。同時,系統(tǒng)啟動過程中頻率計數(shù)器已經(jīng)開始工作,為了保證頻率計數(shù)的正確性,可設(shè)計cnt計數(shù)器,丟棄初次計數(shù)值。最后,將有效的計數(shù)值輸出給fre_data。

3 仿真驗證

使用ModelSim對可編程邏輯進(jìn)行仿真。測量對象為1000 Hz方波信號,時鐘頻率為33 M,因此時鐘計數(shù)值應(yīng)該是33 000。任選信號周期內(nèi)的一個時刻作為系統(tǒng)復(fù)位點,仿真結(jié)果如圖3所示。從圖3可以看出,fre_data的值在第三個start信號凸起后變?yōu)?3 000,與理論值完全一致,說明設(shè)計有效。

4 實測數(shù)據(jù)

使用信號發(fā)生器提供不同頻率的正弦信號,對系統(tǒng)進(jìn)行實測,所選取的頻率測試點覆蓋整個實際應(yīng)用的頻率范圍,即20~3 300 Hz,且可以驗證可編程邏輯電路的數(shù)字濾波及延時判斷功能。同時,為了更好的模擬發(fā)動機(jī)實際情況,正弦信號的幅值應(yīng)隨著其頻率的增大而增大。實測數(shù)據(jù)如表1所示。

從表1可以看出,當(dāng)輸入信號的頻率小于1Hz時,系統(tǒng)的延時判斷功能生效,實測值為NAN(無窮大);當(dāng)輸入信號的頻率大于3 300 Hz時,系統(tǒng)的數(shù)字濾波功能生效,實測值為0;當(dāng)輸入信號的頻率介于1~3 300 Hz之間時,實測值的相對誤差不超過0.4%,與實際頻率基本一致。

5 結(jié)束語

文章提出了一種基于FPGA的多通道頻率測量系統(tǒng)的實現(xiàn)方法,主要創(chuàng)新點是利用可編程邏輯芯片搭建數(shù)字濾波電路,通過邏輯分析判別輸入信號是否切斷并做出響應(yīng),符合實際應(yīng)用的需求。系統(tǒng)的擴(kuò)展性強(qiáng),電路結(jié)構(gòu)相對簡單,仿真及實測結(jié)果表明濾波效果明顯,測量精度較高,在工程領(lǐng)域具備適用價值。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉