當(dāng)前位置:首頁 > 芯聞號(hào) > 技術(shù)解析
[導(dǎo)讀]本文中,小編將為大家介紹DDS任意波形發(fā)生器,主要內(nèi)容在于探討如何基于FPGA實(shí)現(xiàn)該波形發(fā)生器的設(shè)計(jì)。如果你對(duì)波形發(fā)生器具有興趣,不妨繼續(xù)往下閱讀哦。

波形發(fā)生器具有多種類型,對(duì)于波形發(fā)生器,小編在系列文章中有過諸多介紹。本文中,小編將為大家介紹DDS任意波形發(fā)生器,主要內(nèi)容在于探討如何基于FPGA實(shí)現(xiàn)該波形發(fā)生器的設(shè)計(jì)。如果你對(duì)波形發(fā)生器具有興趣,不妨繼續(xù)往下閱讀哦。

一、DDS概述

1.1 DDS基本原理

直接數(shù)字合成技術(shù)(Direet Digital Synthesis,簡稱DDS)是建立在采樣定理基礎(chǔ)上,首先對(duì)需要產(chǎn)生的波形進(jìn)行采樣,將采樣值數(shù)字化后存入存儲(chǔ)器作為查找表,然后通過查表讀取數(shù)據(jù),再經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換為模擬量,將保存的波形重新合成出來。DDS基本原理框圖如圖1所示。

由圖l看出,除了濾波器(LPF)之外,DDS系統(tǒng)都是以數(shù)字集成電路實(shí)現(xiàn),因此DDS系統(tǒng)易于集成和小型化。DDS系統(tǒng)的參考時(shí)鐘源通常是一個(gè)具有高穩(wěn)定性的晶體振蕩器,整個(gè)系統(tǒng)的各個(gè)組成部分提供同步時(shí)鐘。頻率字(FSW)實(shí)際上是相位增量值(二進(jìn)制編碼),作為相位累加器的累加值。相位累加器在每一個(gè)參考時(shí)鐘脈沖輸入時(shí),累加一次頻率字,其輸出相應(yīng)增加一個(gè)步長的相位增量。由于相位累加器的輸出連接在波形存儲(chǔ)器(ROM)的地址線上,因此其輸出的改變就相當(dāng)于查表。這樣就可把存儲(chǔ)在波形存儲(chǔ)器內(nèi)的波形抽樣值(二進(jìn)制編碼)經(jīng)查找表查出。ROM的輸出送到D/A轉(zhuǎn)換器,經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換成模擬量輸出。

1.2 DDS的基本參數(shù)及其計(jì)算

在系統(tǒng)時(shí)鐘脈沖的作用下,相位累加器不停累加,即不停查表,把波形數(shù)據(jù)送到D/A轉(zhuǎn)換器轉(zhuǎn)換成模擬量輸出,從而合成波形。濾波器則進(jìn)一步平滑D/A轉(zhuǎn)換器輸出的近似正弦波的鋸齒階梯波,同時(shí)衰減不必要的雜散信號(hào)。設(shè)頻率字(FSW)的值為d,系統(tǒng)時(shí)鐘頻率為f,相位累加器的字長為N,則系統(tǒng)的輸出頻率為:

二、任意波形發(fā)生器的設(shè)計(jì)方案

基于DDS技術(shù)的任意波形發(fā)生器主要由微處理器控制模塊、鍵盤與顯示模塊、DDS通道的FPGA實(shí)現(xiàn)模塊、D/A轉(zhuǎn)換模塊以及濾波器模塊組成。同時(shí)片外擴(kuò)展了4 KB程序存儲(chǔ)器SRAM和6 KB數(shù)據(jù)存儲(chǔ)器ROM,分別用于存儲(chǔ)波形抽樣數(shù)據(jù)和3種標(biāo)準(zhǔn)輸出波形抽樣數(shù)據(jù)。本系統(tǒng)設(shè)計(jì)原理如圖2所示。

2.1 微處理器控制模塊

采用AT89C5l單片機(jī)完成數(shù)據(jù)處理和控制其他電路工作。將鍵盤接收的數(shù)據(jù)通過特定算法轉(zhuǎn)換成二進(jìn)制碼,再將處理后的控制字、波形參數(shù)和其他器件的控制信號(hào)發(fā)送出去。

由于AT89C5l單片機(jī)主要接口有:微處理器與擴(kuò)展器件的接口;微處理器與FPGA模塊的接口;微處理器與鍵盤、顯示模塊的接口;微處理器與輸出幅值調(diào)節(jié)電路的接口。由于單片機(jī)的輸出引腳有限,需擴(kuò)展其引腳。本設(shè)計(jì)采用8255器件擴(kuò)展單片機(jī)的輸出引腳,8255的PA用于相位控制字的輸出;PB用于頻率控制字的輸出;PC是位可控輸出端,用于DDS工作方式的控制字和波形參數(shù)的控制字輸出引腳。AT89C5l單片機(jī)與FPGA的接口電路如圖3所示。

2.2 鍵盤與顯示模塊

由于本系統(tǒng)設(shè)計(jì)的測量裝置需要設(shè)置輸出波形、頻率、電壓等參數(shù),而且監(jiān)控程序需要菜單驅(qū)動(dòng),因此需要設(shè)置鍵盤。鍵盤采用外接4×4軟鍵盤,使用軟件掃描方式獲得按鍵信息。因?yàn)榘存I需要去抖動(dòng),因此采用軟件編程方式實(shí)現(xiàn),這樣可以節(jié)省硬件資源,簡化電路設(shè)計(jì)。

液晶顯示可提供人機(jī)交互界面和系統(tǒng)運(yùn)行狀態(tài),選用精工的MGLS 19264液晶顯示屏。該液晶顯示屏內(nèi)置2塊HD61202U液晶顯示驅(qū)動(dòng)控制器。其中CSA,CSB作為左、右屏片選信號(hào),接單片機(jī)A8、A9引腳。同時(shí)單片機(jī)用地址Al作為R/W信號(hào)控制數(shù)據(jù)總線的數(shù)據(jù)流向;地址AO作為D/I信號(hào)控制寄存器的選擇;E信號(hào)由單片機(jī)的讀信號(hào)RD和寫信號(hào)WR合成產(chǎn)生,實(shí)現(xiàn)計(jì)算機(jī)對(duì)內(nèi)置HD61202U圖形液晶顯示模塊的電路連接。電位器用來調(diào)節(jié)顯示屏的對(duì)比度,如圖4所示。液晶顯示左半屏命令字地址為0EOOH;狀態(tài)字地址為0EIOH;寫顯示命令字地址為0E0lH;讀顯示命令字地址為0EllH;右半屏對(duì)應(yīng)地址為0D00H、0D10H、0D0lH、0DllH。

2.3 DDS通道的FPGA實(shí)現(xiàn)模塊

該模塊設(shè)計(jì)是DDS信號(hào)合成的關(guān)鍵部分,主要由相位累加器、地址總線控制器、數(shù)據(jù)總線控制器與SRAM組成。其中,除了SRAM外,其余3個(gè)模塊都由FPGA實(shí)現(xiàn)。

相位累加器是整個(gè)DDS系統(tǒng)的關(guān)鍵,直接影響整個(gè)系統(tǒng)的功能。圖5給出的FPGA結(jié)構(gòu)框圖中相位累加器實(shí)質(zhì)上是一個(gè)帶反饋的29位加法器,它把輸出數(shù)據(jù)作為反饋數(shù)據(jù)和由微處理器送來的頻率控制字連續(xù)相加,從而產(chǎn)生有規(guī)律的29位相位地址碼。設(shè)計(jì)中采用流水線技術(shù)實(shí)現(xiàn)29位加法。當(dāng)輸入所需頻率時(shí),轉(zhuǎn)換成頻率控制字來驅(qū)動(dòng)FPGA工作,從而產(chǎn)生所需波形頻率。整個(gè)模塊設(shè)計(jì)過程使用FPGA的開發(fā)軟件實(shí)現(xiàn)并進(jìn)行仿真。

2.4 D/A轉(zhuǎn)換模塊

D/A轉(zhuǎn)換器是DDS系統(tǒng)的核心器件,其速度和特性直接影響整個(gè)系統(tǒng)的性能。從建立時(shí)間、尖峰脈沖能量、位數(shù)和積分線性等四個(gè)方面選擇D/A轉(zhuǎn)換器。因?yàn)镈DS系統(tǒng)的工作頻率一般都很高,因此首先應(yīng)選用高速D/A轉(zhuǎn)換器。其次是考慮信噪比問題,增大D/A轉(zhuǎn)換器的位數(shù),可減小電壓幅值量化誤差,增大信噪比,因此,采用了12位的D/A轉(zhuǎn)換器。

2.5 濾波器模塊

濾波器分為兩組:一組是橢圓函數(shù)濾波器,用于正弦波的濾波;另一組是線性濾波器,用于其他標(biāo)準(zhǔn)波形的濾波。

以上便是此次小編帶來的“波形發(fā)生器”相關(guān)內(nèi)容,通過本文,希望大家對(duì)如何基于FPGA實(shí)現(xiàn)DDS任意波形發(fā)生器具備一定的認(rèn)知。如果你喜歡本文,不妨持續(xù)關(guān)注我們網(wǎng)站哦,小編將于后期帶來更多精彩內(nèi)容。最后,十分感謝大家的閱讀,have a nice day!

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉