當前位置:首頁 > 技術(shù)學(xué)院 > 電子技術(shù)資源
[導(dǎo)讀]VHDL 的注釋以兩個連字符“--”開始,到該行尾自動結(jié)束,不支持成塊的注釋語句。 VHDL設(shè)計實體的組成:庫和程序包(Library,Package),實體(Entity),結(jié)構(gòu)體(Architecture),配置(Configuration).

VHDL 的注釋以兩個連字符“--”開始,到該行尾自動結(jié)束,不支持成塊的注釋語句。

VHDL設(shè)計實體的組成:庫和程序包(Library,Package),實體(Entity),結(jié)構(gòu)體(Architecture),配置(Configuration).

--- VHDL Example

library ieee;

use ieee.std_logic_1164.all; --庫聲明

entity TONE is

port(A,B:in std_logic; --實體定義

C:out std_logic);

end TONE;

architecture EX of TONE is --結(jié)構(gòu)體定義

begin

C<=A OR B;

end EX;

VHDL不區(qū)分大小寫

1:實體的語句格式

ENTITY 實體名 IS

GENERIC(------); --可選

PORT(------);

END實體名;

類屬說明的書寫格式是:

GENERIC(常數(shù)名:數(shù)據(jù)類型:設(shè)定值;

常數(shù)名:數(shù)據(jù)類型:設(shè)定值);

端口語句的格式:

PORT(端口信號名:端口模式數(shù)據(jù)類型;

端口信號名:端口模式數(shù)據(jù)類型);

IN 輸入

OUT 輸出

INOUT 雙向

BUFFER 緩沖

2.結(jié)構(gòu)體

結(jié)構(gòu)體的任務(wù)是:定義結(jié)構(gòu)體中的各項內(nèi)部使用元素,如數(shù)據(jù)類型(TYPE),常數(shù)(CONSTAND),信號(SIGNAL),元件(COMPONENT),過程(POCEDURE),變量(VARIABLE)和進程(PROCESS)等。通過VHDL語句描述實體所要求的具體行為和邏輯功能。描述各元件之間的連接。

結(jié)構(gòu)體格式:

結(jié)構(gòu)體名 OF 實體名IS

說明部分(可選,課用于對結(jié)構(gòu)體中使用的信號等進行說明,這樣做有利于提高程序的可讀性)

BEGIN

功能描述語句法

END 結(jié)構(gòu)體名稱

VHDL內(nèi)部是并發(fā)執(zhí)行的,但是process內(nèi)部的代碼是順序執(zhí)行的。要實現(xiàn)時序電路,必須使用順序執(zhí)行代碼。順序執(zhí)行代碼可以同時實現(xiàn)組合邏輯電路和時序邏輯電路。

從本質(zhì)上講,VHDL代碼是并發(fā)執(zhí)行的。只有PROCESS,F(xiàn)UNCTION或者PROCEDURE內(nèi)部的代碼才是順序執(zhí)行的。值得注意的是,盡管這些模塊中的代碼是順序執(zhí)行的,但是當它們作為一個整體是,與其他模塊之間又是并發(fā)的。IF,WAIT,CASE,LOOP語句都是順序代碼,用在PROCESS,FUNCTION和PROCEDURE內(nèi)部。

我們將一系列的并發(fā)描述語句放在一個simple block中,目的僅僅是為了增強整個代碼的可讀性和可維護性。但是,一個特殊的例子是利用guarded BLOCK可以構(gòu)造時序電路。

類屬 GENERIC 參量是一種端口界面常數(shù),常以一種說明的形式放在實體或塊結(jié)構(gòu)體前的說明部分。

類屬參量以關(guān)鍵詞 GENERIC 引導(dǎo)一個類屬參量表,在表中提供時間參數(shù)或總線寬度等靜態(tài)信息。

一般在結(jié)構(gòu)體中,類屬的應(yīng)用與常數(shù)是一樣的,例如:當用實體例化一個設(shè)計實體的器件時,可以用類屬表中的參數(shù)項定制這個器件,如可以將一個實體的傳輸延遲,上升和下降延時等參數(shù)加到類屬參數(shù)表中,然后根據(jù)這些參數(shù)進行定制,這對于系統(tǒng)仿真控制是十分方便的。其中的常數(shù)名是由設(shè)計者確定的類屬常數(shù)名,數(shù)據(jù)類型通常取INTEGER 或TIME 等類型,設(shè)定值即為常數(shù)名所代表的數(shù)值,但需注意 VHDL 綜合器僅支持數(shù)據(jù)類型為整數(shù)的類屬值。

GENERIC詳細描述見以下網(wǎng)址

http://hi.baidu.com/rebornlinlin/item/0caedc0f8b0a058e03ce1b5a

一個元件 component是一個結(jié)構(gòu)完整的常用代碼,(包括庫聲明、實體和結(jié)構(gòu)體這些基本的組成部分)。然而如果將這些代碼聲明為component,就可以被其他電路調(diào)用,從而使代碼具有了層次化的結(jié)構(gòu)。

COMPONENT是已有元件在別的文件中已經(jīng)定義,在此聲明之后,你的程序里就可以用了

就比如我在設(shè)計文件中定義了一個實體

entity cnt6 is

port

(clr,en,clk :in std_logic;

q :out std_logic_vector(2 downto 0)

);

end entity;

然后我要在測試文件中使用它,需要例化它,但首先要使用component對它進行聲明。

architecture rtl of cnt6_tb is

component cnt6

port(

clr,en,clk :in std_logic;

q :out std_logic_vector(2 downto 0)

);

end component;

關(guān)于VHDL 的testbench 的編寫,請參照以下網(wǎng)址,寫的蠻好

http://blog.csdn.net/wangjun403/article/details/6246830

http://www.cnblogs.com/zlh840/archive/2011/07/08/2101504.html

?COMPONENT語句

COMPONENT 元件名

【GENERIC 說明】;

PORT 說明;

END COMPONENT;

COMPONENT語句可以在

ARCHITECTURE,PACKAGE及BLOCK

的說明部分中使用

?COMPONENT_INSTANT語句

標號名:元件名 PORT MAP(信號,???)

該語句使得使用已有元件或模塊成為

可能,該語句將元件或模塊的端口信號

映射成為高層次電路中的信號。

?COMPONENT_INSTANT語句

標號名:元件名 PORT MAP(信號,???)

該語句使得使用已有元件或模塊成為

可能,該語句將元件或模塊的端口信號

映射成為高層次電路中的信號。

例:已有設(shè)計and2的端口說明如下

Port(a,b:in bit;

c:out bit);

元件調(diào)用

u1:and2 portmap(x,y,q);

u2: and2 port map(a=>x,b=>y,c=>q);

在輸出信號沒有連接的情況下,其對應(yīng)

端口的描述可以忽略

程序包說明格式如下:

package 程序包名 is

說明語句;

end 程序包名;

程序包名:設(shè)計者自定義便于記憶的標識符

說明語句:包括各種類型的說明語句

程序包體

描述函數(shù)和過程功能的函數(shù)體與過程等的集合稱程序包體

程序包說明中,定義了數(shù)據(jù)類型和子程序中的函數(shù)、過程說明,而程序包體中才具體地描述該函數(shù)、過程功能的語句和數(shù)據(jù)的賦值。

程序包體格式:

package body 程序包名 is

順序語句;

end 程序包名;

程序包名:與程序包說明中的程序包名相同

順序語句:描述函數(shù)、過程及其他功能的程序流

子程序——過程

VHDL中,所謂子程序指主程序調(diào)用它后能將處理結(jié)果返回主程序的程序模塊。

VHDL語言中,子程序有兩種類型,過程(procedure)和函數(shù)(function)。

他們的區(qū)別:

1、過程可具有多個返回值,而函數(shù)只能有一個

2、過程通常用來定義一個算法,而函數(shù)往往用來產(chǎn)生一個特定的值

3、過程中的參數(shù)可具有3種端口模式:in、out、inout,而函數(shù)中的參數(shù)只能具有一直端口模式:in

過程的書寫結(jié)構(gòu)

對于VHDL子程序來說,它通常包括子程序說明部分和子程序定義部分。

其中,子程序說明部分定義了其他設(shè)計調(diào)用子程序的接口;子程序定義部分則描述該子程序具體功能的實現(xiàn)。

因此,通常將子程序說明部分和子程序定義部分的書寫結(jié)構(gòu)分別介紹

在VHDL中,過程說明部分的書寫結(jié)構(gòu):

procedure:過程名

(對象類型1 參數(shù)名1: 端口模式1 數(shù)據(jù)類型1;

對象類型2 參數(shù)名2:端口模式2 數(shù)據(jù)類型2;......);

其中對象類型、端口模式是可選項。

在VHDL語言中,過程定義部分

procedure 過程名

(對象類型1 參數(shù)名1: 端口模式1 數(shù)據(jù)類型1;

對象類型2 參數(shù)名2:端口模式2 數(shù)據(jù)類型2;......)is

過程說明部分;

begin

過程順序語句部分;

end 過程名;

參數(shù)列表中:每個參數(shù)包括它的對象類型、參數(shù)名、端口模式以及數(shù)據(jù)類型

參數(shù)的對象類型包括:常量、信號、變量

參數(shù)名是用來表示參數(shù)的唯一標識

端口模式:包括in、out、inout

若過程中沒有指明參數(shù)的對象類型,那么參數(shù)的對象類型將默認為一個變量

過程定義在程序包中的定義規(guī)則為:過程說明部分書寫在程序包的說明部分,過程定義部分書寫在程序包體部分

過程定義在結(jié)構(gòu)體中:即將過程定義部分書寫在結(jié)構(gòu)體的說明部分,然后在結(jié)構(gòu)體進程語句里調(diào)用

函數(shù)

函數(shù)包括:函數(shù)說明部分和函數(shù)定義部分

函數(shù)說明部分定義了主程序調(diào)用函數(shù)的接口

函數(shù)定義部分描述了該函數(shù)具體邏輯功能的實現(xiàn)

函數(shù)的書寫結(jié)構(gòu)

function 函數(shù)名

(對象類型1 參數(shù)名1; in 數(shù)據(jù)類型1;

對象類型2 參數(shù)名2; in 數(shù)據(jù)類型2;......) return 數(shù)據(jù)類型;

其中對象類型和端口模式in是可選項

函數(shù)定義部分書寫結(jié)構(gòu)

function 函數(shù)名

(對象類型1 參數(shù)名1; in 數(shù)據(jù)類型1;

對象類型2 參數(shù)名2; in 數(shù)據(jù)類型2;......) return 數(shù)據(jù)類型is

函數(shù)說明部分:

begin

函數(shù)順序語句部分;

return(參數(shù)值);

end 函數(shù)名;

函數(shù)參數(shù)的對象類型只能包括常量和信號:參數(shù)的端口模式只能是in,因此參數(shù)端口模式可以省略。

函數(shù)的返回值只能有一個。

函數(shù)定義在程序包中:函數(shù)說明部分書寫在程序包說明部分,函數(shù)定義部分書寫在程序包體部分。如果需要使用程序包中定義的函數(shù),那么只需要通過use語句使其對設(shè)計實體可見。

函數(shù)定義在結(jié)構(gòu)體中:只需將函數(shù)定義部分書寫在結(jié)構(gòu)體說明部分即可。然后在結(jié)構(gòu)體中調(diào)用這個函數(shù)。

function內(nèi)是不允許加入進程process的。函數(shù)function的通常應(yīng)用是作邏輯組合、判決和轉(zhuǎn)移。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉