當(dāng)前位置:首頁 > 電源 > 數(shù)字電源
[導(dǎo)讀]  1 引言  傳統(tǒng)的波形發(fā)生器多采用模擬分立元件實現(xiàn),產(chǎn)生的波形種類要受到電路硬件的限制,體積大,靈活性和穩(wěn)定性也相對較差。采用FPGA器件直接實現(xiàn)多種波形信號發(fā)生器,配以相應(yīng)的外圍器件實現(xiàn)的波形發(fā)生器具

  1  引言

  傳統(tǒng)的波形發(fā)生器多采用模擬分立元件實現(xiàn),產(chǎn)生的波形種類要受到電路硬件的限制,體積大,靈活性和穩(wěn)定性也相對較差。采用FPGA器件直接實現(xiàn)多種波形信號發(fā)生器,配以相應(yīng)的外圍器件實現(xiàn)的波形發(fā)生器具有設(shè)計簡單、外圍電路少、頻率穩(wěn)定性高、可靠性高、輸出波形穩(wěn)定、現(xiàn)場可編程等優(yōu)點,因而在現(xiàn)代電子設(shè)計中,常常采用FPGA器件來實現(xiàn)多種波形信號發(fā)生器,利用FPGA實現(xiàn)多種波形信號發(fā)生器的方法也很多,但其設(shè)計方法均過于復(fù)雜,要求設(shè)計人員對VHDL 語言要相當(dāng)熟悉,才能編寫相應(yīng)的程序。采用Matlab/DSP Builder建立模型來實現(xiàn)多種波形信號發(fā)生器,其設(shè)計簡單,不需要編程,也能根據(jù)需要設(shè)計出相應(yīng)的多波信號發(fā)生器[1][2][4][6]。

  2、多波信號發(fā)生器的數(shù)學(xué)模型

  2.1 鋸齒波的產(chǎn)生

  在Matlab/Simulink下,有一模塊名叫Increment Decrement模塊,由于Increment Decrement模塊隨著時間的變化而不斷的從0計數(shù)到255 ,到了255后清0,接著又從0開始計數(shù)這樣周期性的產(chǎn)生鋸齒波。

  2.2 正弦波的產(chǎn)生

  利用Increment Decrement不斷計數(shù),根據(jù)計數(shù)找到查找表的地址取出里面的值,正弦函數(shù)的調(diào)用格式為Sin(【起始值:步進(jìn)值:結(jié)束值】),該模塊為一個輸入為6位輸出值為8位的正弦查找表模塊。

  2.3 方波的產(chǎn)生

  由于產(chǎn)生的正弦波的值從0到255,我們可以使用一個比較器進(jìn)行比較,根據(jù)比較值的大小產(chǎn)生占空比不同的方波,此處我們設(shè)置一個值為127的常數(shù),當(dāng)輸出正弦波的值大于等于127的時候比較器的值為1,反之為0。比較器輸出的值可以進(jìn)行放大,比如放大127倍。這樣即可生成方波。

  2.4 三角波的產(chǎn)生

  同理利用比較器的性質(zhì)跟Increment Decrement模塊輸出的值進(jìn)行比較,當(dāng)Increment Decrement模塊輸出的值小于等于127時比較器模塊10為1,然后再與Increment模塊相乘,相乘的結(jié)果為127到0;當(dāng) Increment Decrement模塊輸出的值大于127時比較器模塊9為0,與Increment模塊相乘,相乘的結(jié)果為0到127;以上兩者進(jìn)行相加后在經(jīng)過一個絕對值變化器,就可以產(chǎn)生的很好的波形。根據(jù)以上分析其建立的模型如圖1所示[5]。

   

  3、用ModelSim進(jìn)行RTL級的VHDL仿真

  3.1 多波信號發(fā)生器的模型文件MDL轉(zhuǎn)換成VHDL

  在Simulink中完成仿真驗證后,就需要把設(shè)計轉(zhuǎn)到硬件上加以實現(xiàn)。這是整個DSP Builder設(shè)計流程中最為關(guān)鍵的一步,在這一步,可以獲得針對特定FPGA芯片的VHDL代碼。雙擊多波信號發(fā)生器數(shù)學(xué)模型中的 SignalCompiler模塊,然后再在彈出的對話框中分別點擊“Convert MDL to VHDL”、“Synthesis”和“Quartus II”,這樣就可以把多波信號發(fā)生器的數(shù)學(xué)模型文件轉(zhuǎn)換成特定的VHDL代碼。

  3.2 用ModelSim進(jìn)行RTL級的VHDL仿真

    [!--empirenews.page--]

  在Simulink中進(jìn)行的仿真是屬于系統(tǒng)驗證性質(zhì)的,是對MDL文件進(jìn)行的仿真,并沒有對生成的VHDL代碼進(jìn)行過仿真。事實上,生成VHDL描述的是 RTL級的,是針對具體的硬件結(jié)構(gòu)的,而在Matlab的Simulink中的模型仿真是算法級的,兩者之間有可能存在軟件理解上的差異。轉(zhuǎn)換后的 VHDL代碼實現(xiàn)可能與MDL模型描述的情況不完全相符。這就需要針對生成的RTL級VHDL代碼進(jìn)行功能仿真。為此利用ModelSim對多波信號發(fā)生器進(jìn)行RTL級進(jìn)行仿真,以驗證多波信號發(fā)生器設(shè)計的正確性,其仿真波形如圖2所示,由此可以看出其設(shè)計是正確的[3]。

  4、多波信號發(fā)生器的頂層設(shè)計及仿真結(jié)果

   

  整體電路采用原理圖描述和VHDL語言相結(jié)合的方式構(gòu)成,在Quartus II軟件中實現(xiàn)綜合及仿真。頂層原理圖如圖3所示,為了達(dá)到輸出信號的有選擇的目的,設(shè)計了一個多路選擇順,該多路選擇器的采用VHDL語言描述,其源代碼如下:
library ieee;
use ieee.std_logic_1164.all;
entity test4 is
   port(d1,d2,d3,d4: in std_logic_vector(7 downto 0);
sel: in bit_vector(1 downto 0);
q: out std_logic_vector(7 downto 0));
end test4;
architecture rtl of test4 is
begin
   process(d1,d2,d3,d4,sel)

  begin
      case sel is
         when 00 => q <= d1;
         when 01 => q <= d2;
         when 10 => q <= d3;
         when 11 => q <= d4;
      end case;

      end process;
end rtl;

   

  經(jīng)過Quartus II的綜合與仿真,結(jié)果表明,能夠?qū)崿F(xiàn)多種波形信號的功能。圖4是Quartus II的仿真波形。

  5  結(jié)語

  經(jīng)過Quartus II仿真正確后,即可將項目編譯生成的編程文件下載到FPGA器件中,完成器件編程,經(jīng)測試表明,電路實際工作的結(jié)果與仿真時的結(jié)果一致,達(dá)到了設(shè)計要求。

  本文作者創(chuàng)新點在整個多波形信號發(fā)生器的設(shè)計過程中,充分利用了Matlab強大的數(shù)學(xué)計算功能來保證FPGA的設(shè)計的正確性,使整個設(shè)計非常簡單,修改靈活。設(shè)計者不至于陷于復(fù)雜的VHDL 語言編程,只要在Matlab下建立系統(tǒng)模型,然后對各個模塊的基本參數(shù)進(jìn)行簡單設(shè)置就可以實現(xiàn)復(fù)雜電子系統(tǒng)的設(shè)計。


本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉