當(dāng)前位置:首頁 > 電源 > 數(shù)字電源
[導(dǎo)讀]根據(jù)傳統(tǒng)型任意波形信號發(fā)生器和基于DDS任意波形信號發(fā)生器的設(shè)計(jì)原理,采用Matlab/DSP Builder的建模方法,在DSP Builder平臺上完成兩種原理的系統(tǒng)建模和仿真,并用SignalCompiler工具對模型進(jìn)行編譯,產(chǎn)生QuartusⅡ能夠識別的VHDL源程序,并通過FPGA芯片EP2C8Q208C來實(shí)現(xiàn),最后用SignalTapⅡ進(jìn)行硬件測試。經(jīng)系統(tǒng)仿真和硬件測試,證明兩種設(shè)計(jì)方法的正確性。比較傳統(tǒng)的硬件描述語言建模,該方法設(shè)計(jì)簡單、修改方便、成本低、不涉及到任何編程,對硬件理論知識要

0 引言
    在當(dāng)今的科技信息社會(huì)中,尤其是在電子設(shè)計(jì)和信號測試系統(tǒng)中,通常需要一些復(fù)雜、特定頻率的信號,通常的信號發(fā)生器難以滿足要求,市場上出售的一些任意信號發(fā)生器的價(jià)格昂貴,體積較大,不能滿足實(shí)際的需要。
    Altera DSP Builder是一款系統(tǒng)級的設(shè)計(jì)工具,依賴于Mathworks公司的數(shù)學(xué)分析工具M(jìn)atlab/Simulink,可以在Sireulink中進(jìn)行圖形化設(shè)計(jì)和仿真,同時(shí)又可以通過signalCompiler把Matlab/Simulink的模型文件(.mdl)轉(zhuǎn)換成相應(yīng)的硬件描述語言VHDL,本文用兩種方法,即傳統(tǒng)型的任意信號發(fā)生器和基于直接數(shù)字頻率合成(DDS)的任意信號發(fā)生器,在DSPBuilder的開發(fā)工具下實(shí)現(xiàn)任意信號發(fā)生器的設(shè)計(jì),不涉及到編程,操作簡單。

1 傳統(tǒng)型任意信號發(fā)生器的設(shè)計(jì)
1.1 設(shè)計(jì)原理
   
傳統(tǒng)型任意信號發(fā)生器原理比較簡單,將時(shí)鐘源作為地址發(fā)生器(計(jì)數(shù)器)的輸入時(shí)鐘,通過改變時(shí)鐘源的頻率,可以實(shí)現(xiàn)調(diào)整地址發(fā)生器(計(jì)數(shù)器)產(chǎn)生地址的變化速率,從而達(dá)到改變輸出波形與輸出頻率的目的。當(dāng)?shù)刂钒l(fā)生器輸出值等于待生成波形數(shù)據(jù)存儲器中波形數(shù)據(jù)的地址時(shí),待生成波形數(shù)據(jù)存儲器將輸出此數(shù)據(jù)到高速D/A轉(zhuǎn)換,將其變?yōu)槟M信號,經(jīng)低通濾波器后輸出所需波形。D/A的輸出頻率fuot與待生成波形數(shù)據(jù)存儲器的波形數(shù)據(jù)點(diǎn)數(shù)N以及時(shí)鐘源的頻率fclk關(guān)系為:
   
    由式(1)可知,只要改變數(shù)據(jù)波形點(diǎn)數(shù)N就能控制輸出信號的頻率。
1.2 系統(tǒng)設(shè)計(jì)
  
根據(jù)圖1所示的原理框圖,在Matlab/DSP Builder平臺上,建立傳統(tǒng)型任意信號發(fā)生器的Simulink模型,如圖2所示。


   在圖2中,Increment Decrement模塊起到一個(gè)地址發(fā)生器的作用,隨著時(shí)鐘的輸入不斷累加輸出,ROM模塊存放待生成信號的波形數(shù)據(jù),輸入模塊input通過produt模塊控制信號的輸出。
    利用Matlab強(qiáng)大的仿真功能,方便、快捷地生成給定頻率、周期、脈寬的任意波形數(shù)據(jù),但生成的數(shù)據(jù)通常是浮點(diǎn)型的,要將其轉(zhuǎn)換為十六進(jìn)制的Hexadecimal(Itel-Form)File(.hex)格式的文件,然后將*.hex文件添加到ROM存儲器中,設(shè)置系統(tǒng)模型的參數(shù),就可以得到任意信號波形。

2 基于DDS的任意信號發(fā)生器的設(shè)計(jì)
2.1 設(shè)計(jì)原理

    基于DDS的任意信號發(fā)生器結(jié)構(gòu)框圖如圖3所示。由圖3可以看出,相位累加器在系統(tǒng)時(shí)鐘的控制下以頻率控制字長的步長進(jìn)行累加,輸出的線性相位序列和相位控制字相加后對待生成波形數(shù)據(jù)ROM查表尋址,待生成波形數(shù)據(jù)ROM查找表輸出相應(yīng)波形的離散序列,再由DAC將其轉(zhuǎn)換為模擬量,把存入的數(shù)據(jù)重新整合起來。此過程實(shí)際完成了相位到幅度的轉(zhuǎn)換。


    設(shè)系統(tǒng)時(shí)鐘為fclk,頻率輸入字為Freword,相位輸入字為Phaseword,N是相位累加器的數(shù)據(jù)位寬(頻率輸入字的數(shù)據(jù)位寬),相位調(diào)制器的位寬為M,則DDS的輸出信號頻率為:
   
    輸出信號的相移為:
   
    由式(2)和式(3)可知,改變頻率輸入字和相位輸入字就可以分別控制輸出信號的頻率和相位。[!--empirenews.page--]
2.2 系統(tǒng)設(shè)計(jì)
   
根據(jù)圖3所示的框圖,在Matlab/DSP Builder平臺上,建立基于DDS的任意信號發(fā)生器的Simulink模型,如圖4所示。


    該系統(tǒng)中,共有三個(gè)輸入:Freword為頻率字輸入、Phaseword為相位字輸入、input為信號輸入控制模塊。ROM模塊中裝載要輸出信號的波形數(shù)據(jù)。input模塊通過product模塊控制信號的輸,Delay模塊,Parallel Adder Subtractor模塊和Phasewordl模塊構(gòu)成相位累加器,相位調(diào)制部分由Parallel Adder Subtractorl模塊和Bus Conversion構(gòu)成,加法器使用了Pipeline,內(nèi)部已含寄存器,因而加法器出來后就無需再加延時(shí)模塊。選擇頻率字為8 000 000,相位字輸入為100。
    同樣,利用Matlab將待生成波形的.hex文件添加到ROM存儲器中,設(shè)置各模塊的參數(shù),即可得到所需的信號波形。

3 系統(tǒng)仿真
   
只要將待生成信號的波形數(shù)據(jù)添加到圖2或圖4的ROM模塊中,設(shè)置各模塊的參數(shù),就可得到任意所需波形,本文只給出傳統(tǒng)方法下生成的正弦波信號和基于DDS生成的三角波信號的系統(tǒng)仿真。
3.1 傳統(tǒng)型正弦波信號的系統(tǒng)仿真
   
由QuartusⅡ生成的正弦波信號的.hex文件如表1所示。


    將正弦波的數(shù)據(jù).hex文件添加到圖2的ROM模塊中,設(shè)置系統(tǒng)模型的參數(shù),系統(tǒng)仿真結(jié)果如圖5所示。


3.2 基于DDS的三角波信號的系統(tǒng)仿真
   
由QuartusⅡ生成的三角波信號的.hex文件如表2所示。

[!--empirenews.page--]
    將三角波的數(shù)據(jù).hex文件添加到圖4的ROM模塊中,設(shè)置系統(tǒng)模型的參數(shù),系統(tǒng)仿真結(jié)果如圖6所示。



4 系統(tǒng)的硬件實(shí)現(xiàn)與測試
   
雙擊圖2或圖4中SignalCompiler,在彈出的對話框中點(diǎn)擊“Compile”,DSP Builder將會(huì)調(diào)用QuartusⅡ進(jìn)行全程編譯,這個(gè)過程包括創(chuàng)建QuartusⅡ工程、綜合和適配。會(huì)自動(dòng)將mdl文件轉(zhuǎn)換為QuartusⅡ能夠識別的VHDL源程序。
    打開QuartusⅡ9.O環(huán)境,打開DSP Builder自己建立好的工程,最后選擇cycloneⅡ系列的FPGA芯片EP2C8Q208C,鎖定好相關(guān)引腳,再將.sof文件下載到EP2C8Q208C芯片中。
    完成下載后,傳統(tǒng)的方法是用邏輯分析儀接到FPGA的管腳上進(jìn)行硬件測試,該方法繁瑣且復(fù)雜,為解決此問題,可使用QuartusⅡ自帶的嵌入式邏輯分析儀SignalTapⅡ進(jìn)行硬件測試。
    打開SignalTapⅡ,設(shè)置好所要觀測信號的觸發(fā)狀態(tài)、采樣時(shí)鐘和數(shù)據(jù)深度,之后將文件保存為.stp文件,并添加到系統(tǒng)工程里,然后啟動(dòng)完整編譯。
    對傳統(tǒng)方法下生成的正弦波信號和基于DDS生成的三角波信號進(jìn)行SignalTapⅡ硬件測試,結(jié)果如圖7所示。對比圖7,圖5和圖6,表明兩種設(shè)計(jì)方法的正確性。


    將硬件電路接入高速D/A轉(zhuǎn)換電路,用示波器檢測D/A輸出,會(huì)看到相應(yīng)波形。

5 結(jié)語
   
基于Matlab/DSP Builder的系統(tǒng)實(shí)現(xiàn)方案形象直觀,本文采用兩種方法在DSP Builder下實(shí)現(xiàn)了任意信號發(fā)生器的設(shè)計(jì),通過Simulink的系統(tǒng)仿真和SignalTapⅡ的硬件測試,證實(shí)了設(shè)計(jì)方法的正確性,取得了預(yù)期的效果。
    DSP Builder作為Matlab/Simulink中的一個(gè)工具箱,使得用FPGA設(shè)計(jì)DSP系統(tǒng)完全可以通過Simulink的圖形化界面進(jìn)行,使用DSPBuil-der設(shè)計(jì)任意波形信號發(fā)生器的所有基本組件都已經(jīng)存在,只需要對每個(gè)組件設(shè)置參數(shù)后逐一連接就可以,從而使得一個(gè)復(fù)雜電子系統(tǒng)設(shè)計(jì)變得相當(dāng)容易而且直觀,利用SignalCompiler很容易將模型轉(zhuǎn)換為VHDL語言,不涉及到編程,操作更簡單,開發(fā)速度大大加快。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉