當(dāng)前位置:首頁 > 電源 > 數(shù)字電源
[導(dǎo)讀]引言   現(xiàn)代集成電路芯片中,隨著設(shè)計(jì)規(guī)模的不斷擴(kuò)大.一個(gè)系統(tǒng)中往往含有數(shù)個(gè)時(shí)鐘。多時(shí)鐘帶來的一個(gè)問題就是,如何設(shè)計(jì)異步時(shí)鐘之間的接口電路。異步FIFO(First In First Out)是解決這個(gè)問題的一種簡便、快捷的解

引言

  現(xiàn)代集成電路芯片中,隨著設(shè)計(jì)規(guī)模的不斷擴(kuò)大.一個(gè)系統(tǒng)中往往含有數(shù)個(gè)時(shí)鐘。多時(shí)鐘帶來的一個(gè)問題就是,如何設(shè)計(jì)異步時(shí)鐘之間的接口電路。異步FIFO(First In First Out)是解決這個(gè)問題的一種簡便、快捷的解決方案。使用異步FIFO可以在兩個(gè)不同時(shí)鐘系統(tǒng)之間快速而方便地傳輸實(shí)時(shí)數(shù)據(jù).在網(wǎng)絡(luò)接口、圖像處理等方面,異步FIFO都得到廣泛的應(yīng)用。異步FIFO是一種先進(jìn)先出的電路,使用在數(shù)據(jù)接口部分,用來存儲(chǔ)、緩沖在兩個(gè)異步時(shí)鐘之間的數(shù)據(jù)傳輸。在異步電路中,由于時(shí)鐘之間周期和相位完全獨(dú)立,因而數(shù)據(jù)的丟失概率不為零。如何設(shè)計(jì)一個(gè)可靠性高、速度高的異步FIFO電路便成為一個(gè)難點(diǎn)。

  1 異步FIFO的工作原理及邏輯框圖

  本文根據(jù)實(shí)際工作的需要.給出了一種利用片內(nèi)RAM構(gòu)造FIFO器件的設(shè)計(jì),重點(diǎn)強(qiáng)調(diào)了設(shè)計(jì)有效.可靠的握手信號(hào)FULL和EMPTY的方法。并在LATTICE公司的FPGA芯片LFXP2-5E上實(shí)現(xiàn)。LFXP2-5E屬于LATIICE公司XP2系列的一款,他采用優(yōu)化的FlexiFLASH結(jié)構(gòu)。內(nèi)部包含有基于查找表的邏輯、分布式和嵌入式存儲(chǔ)器,鎖相環(huán)(PLL)。工程預(yù)制的源同步I/0以及增強(qiáng)的SysDSP塊。有166Kbits的EBRSRAM。利用其內(nèi)部的EBRSRAM可以實(shí)現(xiàn)一定容量的異步FIFO.而無需單獨(dú)購買FIF0器件。

  由圖1可以看出:異步FIFO一般由四個(gè)模塊構(gòu)成:數(shù)據(jù)存儲(chǔ)單元,寫地址產(chǎn)生模塊,讀地址產(chǎn)生模塊,標(biāo)志位產(chǎn)生模塊。整個(gè)系統(tǒng)分為兩個(gè)完全獨(dú)立的時(shí)鐘域—讀時(shí)鐘域和寫時(shí)鐘域:在寫時(shí)鐘域部分由寫地址產(chǎn)生邏輯產(chǎn)生寫控制信號(hào)和寫地址:讀時(shí)鐘域部分,由讀地址產(chǎn)生邏輯產(chǎn)生讀控制信號(hào)和讀地址;在標(biāo)志位產(chǎn)生模塊部分,由讀寫地址相互比較產(chǎn)生空/滿標(biāo)志。異步FIFO的操作過程為:在寫時(shí)鐘的上升沿.當(dāng)寫使能有效時(shí),將數(shù)據(jù)寫入到雙口RAM中寫地址對(duì)應(yīng)的位置中:在讀時(shí)鐘的上升沿,當(dāng)讀使能有效時(shí)。則按先進(jìn)先出順序讀出數(shù)據(jù)。在FIFO寫滿或讀空的情況下。分別對(duì)滿標(biāo)志FuLL或空標(biāo)志EMPTY信號(hào)置位。來表示FIFO的兩種特殊狀態(tài)。

圖1異步FIFO邏輯框圖

  2 異步FIFO的VHDL實(shí)現(xiàn)讀時(shí)鐘

  2.1 FIFO設(shè)計(jì)的難點(diǎn)

  如何同步異步信號(hào),使觸發(fā)器不產(chǎn)生亞穩(wěn)態(tài)是設(shè)計(jì)異步FIFO的難點(diǎn)。國內(nèi)外解決此問題的較成熟辦法是對(duì)寫地址膜地址采用格雷碼,本文也直接采用格雷碼。異步FIFO設(shè)計(jì)的另一個(gè)難點(diǎn)是如何判斷FIFO的空/滿狀態(tài)。為了保證數(shù)據(jù)正確的寫入或讀出。必須保證異步FIFO在滿的狀態(tài)下.不能進(jìn)行寫操作:在空的狀態(tài)下不能進(jìn)行讀操作。通常情況下將存儲(chǔ)器組織成一個(gè)環(huán)形鏈表。

  滿/空標(biāo)志產(chǎn)生的原則是:寫滿不溢出.讀空不多讀。即無論在什么情況.都不應(yīng)出現(xiàn)讀寫地址同時(shí)對(duì)一個(gè)存儲(chǔ)器地址操作的情況。在讀寫地址相等或相差一個(gè)或多個(gè)地址的時(shí)候,滿標(biāo)志應(yīng)該有效。表示此時(shí)FIFO已滿,外部電路應(yīng)停止對(duì)FIFO發(fā)數(shù)據(jù)。在滿信號(hào)有效時(shí)寫數(shù)據(jù)應(yīng)根據(jù)設(shè)計(jì)的要求,或保持、或拋棄重發(fā)。同理,空標(biāo)志的產(chǎn)生也是如此。為了更好的判斷滿/空標(biāo)志。采用在FIFO原來深度的基礎(chǔ)上增加一位的方法,而由該位組成的格雷碼并不代表新的地址。也就是說3位格雷碼可表示8位的深度,若再加一位最高位MSB,則這一位加其他三位組成的格雷碼并不代表新的地址,也就是說格雷碼的0100表示7,而1100仍然表示7,只不過格雷碼在經(jīng)過一個(gè)以0位MSB的循環(huán)后進(jìn)入一個(gè)以1為MSB的循環(huán),然后又進(jìn)入一個(gè)以0位MSB的循環(huán)。其他的三位碼仍然是格雷碼。舉例說明:一個(gè)深度為8字節(jié)的FIFO怎樣工作(使用已轉(zhuǎn)換為二進(jìn)制的指針),N=3,指針寬度為N+I=4。開始rd_ptr_bin和wr_ptr_bin均為“0000”。此時(shí)FIFO中寫入8個(gè)字節(jié)的數(shù)據(jù)。wr_ptr_bin=“1000",rd_ptr_bin=“0000”。當(dāng)然,這就是滿條件。現(xiàn)在,假設(shè)執(zhí)行了8次的讀操作.使得rd_ptr_bin=“1000”,這就是空條件。另外的8次寫操作將使wr_ptr_bin等于“0000”,但rd_ptr_bin仍然等于“1000”,因此,F(xiàn)IFO為滿條件。

  由以上可知。滿標(biāo)志的產(chǎn)生條件為:寫指針趕上讀指針.即寫滿后,又從零地址開始寫直到趕上讀指針,這時(shí)期讀寫指針的最高位不同,其他位相同,這就是滿條件??諛?biāo)志的產(chǎn)生條件為:復(fù)位或者是讀指針趕上寫指針.即在寫指針循環(huán)到第二輪時(shí)讀指針趕上寫指針,這時(shí)讀寫指針的高低位均相同,這就是空條件。

  2.2異步F1F0的VHDL語言實(shí)現(xiàn)

  以下為本程序的核心部分

  程序1格雷碼計(jì)數(shù)器的實(shí)現(xiàn)

[!--empirenews.page--]

  3 仿真驗(yàn)證

  基于以上的分析結(jié)合實(shí)際本文構(gòu)造了一個(gè)8192x8的FIFO,用MODELSIM進(jìn)行仿真。對(duì)該異步FIFO編寫測(cè)試向量進(jìn)行仿真,如圖2所示。


圖2仿真波形圖

  圖2中,WClk為寫時(shí)鐘,Writeen_in為寫使能,F(xiàn)ull_out為滿信號(hào),Data_in為數(shù)據(jù)入,RClk為讀時(shí)鐘,ReadEn_in為讀時(shí)能,Empty_out為空信號(hào),Data_out為數(shù)據(jù)出,Clear_in為系統(tǒng)清零信號(hào)。上面部分為寫地址產(chǎn)生模塊部分的信號(hào)波形,從圖2中可以看出.在寫時(shí)鐘的上升沿.在寫時(shí)能為高有效期間擻據(jù)開始輸入到RAM里面,而在讀時(shí)鐘的上升沿,在讀時(shí)能有效時(shí),在本仿真時(shí)間的195ns處.開始輸出數(shù)據(jù)。將程序下載到LATTICE公司的FPGA芯片中,經(jīng)過測(cè)試驗(yàn)證,系統(tǒng)的時(shí)鐘頻率可達(dá)33MHz。

  4 總結(jié)

  本文主要研究了用FPGA芯片實(shí)現(xiàn)異步FIFO的一種方法。詳細(xì)闡述了空,滿標(biāo)志信號(hào)的產(chǎn)生方法。按照以上思想所設(shè)計(jì)的異步FIFO已經(jīng)在實(shí)際電路中得到了應(yīng)用。實(shí)踐證明他可以解決大多數(shù)異步FIFO電路常見的錯(cuò)誤。同時(shí)增加了系統(tǒng)的可靠性和應(yīng)用靈活性。

  本文作者創(chuàng)新點(diǎn):通過對(duì)FPGA芯片內(nèi)部EBRSRAM的深入研究.提出了一種利用格雷碼對(duì)地址進(jìn)行編碼的異步FIFO設(shè)計(jì)方案。實(shí)踐證明.增加了系統(tǒng)可靠性和應(yīng)用靈活性。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉