當(dāng)前位置:首頁(yè) > 嵌入式 > 嵌入式硬件
[導(dǎo)讀]相信大家和小編一樣,都了解Matlab以及FPGA開(kāi)發(fā),但是你有想過(guò)使用Matlab來(lái)對(duì)你的FPGA進(jìn)行開(kāi)發(fā)嗎?一段時(shí)間以來(lái),MathWorks一直主張使用Matlab和Simulink開(kāi)發(fā)工具進(jìn)行基于模

相信大家和小編一樣,都了解Matlab以及FPGA開(kāi)發(fā),但是你有想過(guò)使用Matlab來(lái)對(duì)你的FPGA進(jìn)行開(kāi)發(fā)嗎?一段時(shí)間以來(lái),MathWorks一直主張使用Matlab和Simulink開(kāi)發(fā)工具進(jìn)行基于模型的設(shè)計(jì),因?yàn)楹玫脑O(shè)計(jì)技術(shù)使您能夠在更短的時(shí)間內(nèi)開(kāi)發(fā)更高質(zhì)量的復(fù)雜軟件。(參見(jiàn)MathWorks 白皮書(shū):“小型團(tuán)隊(duì)如何采用基于模塊的設(shè)計(jì)“)?;谀K的設(shè)計(jì)采用了數(shù)學(xué)和可視化的方法,通過(guò)整個(gè)開(kāi)發(fā)過(guò)程中使用的系統(tǒng)級(jí)建模(從初始設(shè)計(jì)到設(shè)計(jì)分析,仿真,自動(dòng)代碼生成和開(kāi)發(fā)和驗(yàn)證)來(lái)開(kāi)發(fā)復(fù)雜的控制和信號(hào)處理系統(tǒng)。這些模塊是由框圖,文本程序和其他圖形元素組成的可執(zhí)行規(guī)范?;谀P偷脑O(shè)計(jì)鼓勵(lì)對(duì)比其他設(shè)計(jì)方法更廣泛的設(shè)計(jì)空間的快速探索,因?yàn)槟梢栽谠O(shè)計(jì)周期的早期更快地迭代設(shè)計(jì)。而且,由于這些模型是可執(zhí)行的,所以驗(yàn)證成為每一步開(kāi)發(fā)過(guò)程中不可或缺的一部分。

Xilinx通過(guò)新的Xilinx模型編輯器(一種集成到Matlab和Simulink環(huán)境中的設(shè)計(jì)工具),Matlab和Simulink支持模塊化設(shè)計(jì)。Xilinx Model Composer 包含80多個(gè)庫(kù),包含80多個(gè)高性能的優(yōu)化Xilinx專用的模塊,包括用于計(jì)算機(jī)視覺(jué),圖像處理和線性代數(shù)的專用模塊。并且您還可以導(dǎo)入C和C++編寫(xiě)的自定義IP塊,隨后Vivado HLS進(jìn)行處理。

下面的程序框圖顯示了MathWorks 的Matlab,Simulink和Xilinx Model Composer之間的關(guān)系

 


隨著開(kāi)發(fā)系統(tǒng)不斷的復(fù)雜,模塊化的設(shè)計(jì)將是未來(lái)設(shè)計(jì)的一個(gè)趨勢(shì),這將會(huì)給設(shè)計(jì)者不管是在設(shè)計(jì)還是在debug方面都帶來(lái)了很大的方便,隨著Xilinx FPGA的模塊化設(shè)計(jì)的推廣,相信在FPGA端設(shè)計(jì)的門(mén)檻也會(huì)降低,這是硬件開(kāi)發(fā)者的一個(gè)福利。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車(chē)的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車(chē)技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車(chē)工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車(chē)。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車(chē) 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉