當(dāng)前位置:首頁 > 嵌入式 > 嵌入式硬件

引言

  在油田測井中,井下儀在井下采集大量信息,并傳送給地面測井系統(tǒng);但井下儀到地面這段信道的傳輸性能并不好,常用的NRZ碼不適合在這樣的信道里傳輸,而且NRZ碼含有豐富的直流分量,容易引起滾筒的磁化,因而選用了另外一種編碼——曼徹斯特碼。曼徹斯特編碼是串行數(shù)據(jù)傳輸?shù)囊环N重要的編碼方式。和最常用的NRZ碼相比,曼徹斯特碼具有很多優(yōu)點。例如,消除了NRZ碼的直流成分,具有時鐘恢復(fù)和更好的抗干擾性能,這使它更適合于信道傳輸。

  但曼徹斯特碼的時序比較復(fù)雜,實現(xiàn)編解碼器和單片機的接口需要添加大量的邏輯電路,給電路設(shè)計和調(diào)試帶來很多困難。使用CPLD可大大簡化這一過程。CPLD(ComplexProgrammableLogicDevices)具有用戶可編程、時序可預(yù)測、速度高和容易使用等優(yōu)點,這幾年得到了飛速發(fā)展和廣泛應(yīng)用。上至高性能CPU,下至簡單的74電路,都可以用CPLD來實現(xiàn)。而且CPLD的可編程性,使修改和產(chǎn)品升級變得十分方便。用戶可以根

據(jù)原理圖或硬件描述語言自由地設(shè)計一個數(shù)字系統(tǒng),然后通過軟件仿真,事先驗證設(shè)計的正確性。PCB完成以后,還可以利用PLD的在線修改能力,隨時修改設(shè)計而不必改動硬件電路,從而大大縮短了設(shè)計和調(diào)試時間,減少了PCB面積,提高了系統(tǒng)的可靠性。

  1選用器件和開發(fā)軟件

  1.1選用器件

 ?。?)XC95144

  Xilinx公司的XC9500系列產(chǎn)品具有業(yè)界領(lǐng)先的速度,同時,具有增強引腳鎖定結(jié)構(gòu)和支持全面的IEEEStd.1149.1JTAG邊界掃描,向用戶提供了更高的靈活性。XC9500體系由多個同一功能塊組成,每個功能塊內(nèi)含18個宏單元,引腳到引腳速度最快支持到5ns;支持125MHz時鐘速率,I/O口接口電平5V、3.3V或兩者皆可。其中XC95144內(nèi)部有144個宏單元。

  (2)HD-6408和HD-6409

  HD-6408和HD-6409是Intersil公司的產(chǎn)品,兩者均是曼徹斯特編解碼器。

  HD-6408編碼器轉(zhuǎn)換串行NRZ數(shù)據(jù)(通常來自移位寄存器)為曼徹斯特編碼,增加一個同步頭和一個奇偶位。解碼器識別這個同步頭,并判斷出這是數(shù)據(jù)同步,還是命令同步,數(shù)據(jù)解碼后移出NRZ碼(通常送到移位寄存器中)。最后檢查奇偶校驗位。如果沒有編碼或奇偶校驗方面的錯誤,解碼器將輸出一個有效信號,表明接收到一個有效的字。解碼器能夠向輸入的曼徹斯特碼字以很高的速率提供時鐘恢復(fù)和優(yōu)異的抗干擾能力。HD-6408使用在很多商業(yè)應(yīng)用場合,例如安全系統(tǒng)、環(huán)境控制系統(tǒng)及串行數(shù)據(jù)鏈等。它的數(shù)據(jù)傳輸速率高達1Mb/s,開銷很小,20位中有16位可用來傳輸數(shù)據(jù)。

  HD-6409曼徹斯特編解碼器使用原理與HD-6408略有差別,但基本相似。

  1.2使用軟件

  CPLD編程軟件選擇XilinxFoundation3.1i。該軟件界面友好,功能強大,提供了開發(fā)CPLD所需的整個流程,包括:編輯、綜合、實現(xiàn)、仿真及下載等。該軟件可人工指定引腳,編譯各個子模塊文件,并提供了兩種仿真:功能仿真和時序仿真。其中時序仿真對時序提出了要求,是更嚴格的仿真。

  2曼碼控制系統(tǒng)設(shè)計

  系統(tǒng)中,HD-6408以T2模式(20.8Kb/s)從地面接收數(shù)據(jù),HD-6409以T5模式(93.75Kb/s)向地面發(fā)送數(shù)據(jù)。

  接收時,HD-6408接收外部傳來的曼碼數(shù)據(jù),轉(zhuǎn)換成NRZ碼在CPLD里進行串并轉(zhuǎn)換,轉(zhuǎn)換完成后產(chǎn)生接收中斷,通知單片機取數(shù)據(jù);發(fā)送時,單片機送給CPLD數(shù)據(jù),進行并串轉(zhuǎn)換,移位輸出到HD-6409的輸入端,由HD-6409編碼發(fā)送出去。接收地址和發(fā)送地址均由CPLD解碼產(chǎn)生。HD-6408和HD-6409所需的外部時鐘源,通過CPLD對外部晶振分頻得到。

  2.2CPLD內(nèi)部功能設(shè)計

  2.2.1系統(tǒng)總體框圖

  設(shè)計CPLD內(nèi)部電路時,采用了模塊化設(shè)計方法,內(nèi)含5個模塊:16位串并轉(zhuǎn)換器、16位并串轉(zhuǎn)換器、分頻器、解碼器及控制器。系統(tǒng)頂層邏輯框圖如圖1所示。

圖1 系統(tǒng)頂層邏輯框圖    

  以上模塊均采用VHDL語言描述。控制器是核心部分,實現(xiàn)對HD-6408接收和HD-6409發(fā)送的時序控制。   2.2.2 HD-6408解碼時序

  HD-6408的接收時序如圖2所示。

圖2 HD-6408的接收時序圖

  1)引腳定義

  VW:輸出字有效信號。DSC:解碼移位時鐘。TD:接收數(shù)據(jù)信號。SDO:串行數(shù)據(jù)輸出。BZI、BOI:雙極性數(shù)據(jù)輸入。

 ?。?)解碼時序過程

  解碼器一直監(jiān)視數(shù)據(jù)輸入線,當(dāng)接收到有效的同步頭和兩個有效的曼徹斯特碼數(shù)據(jù)位后,便開始輸出。當(dāng)同步頭被識別后,CDS引腳輸出同步的類型:如果接收的是命令,便輸出高并保持16個DSC周期;如果接收的是數(shù)據(jù),就繼續(xù)保持低電平。TD變高,并在SDO輸出NRZ數(shù)據(jù)期間一直為高。SDO輸出一個字后,開始檢驗奇偶位。如果曼徹斯特碼和奇偶位都正確,VW信號輸出高,此時解碼器開始尋找新的同步頭,開始下一個接收周期。接收到新的有效的同步頭和兩個曼徹斯特碼位后,VW信號被復(fù)位;否則,在持續(xù)大約20個DSC周期后,VW信號變低。

  2.2.3HD-6409發(fā)送時序

  HD-6409發(fā)送時序如圖3所示。 

圖3 HD-6409發(fā)送時序圖 

  1)引腳定義

  SD/CDS:串行NRZ碼數(shù)據(jù)輸入。CTS:清除準(zhǔn)備發(fā)送信號。ECLK:編碼時鐘。BZO、BOO:曼徹斯特編碼數(shù)據(jù)輸出。

 ?。?)編碼時序過程

  CTS信號高無效,低有效。在CTS信號的下降沿,引腳BZO和BOO開始發(fā)送同步序列(8個曼碼“0”組成)。同步序列后就是命令同步脈沖(由1.5位高電平和1.5位低電平組成)。在輸出命令同步脈沖時,NRZ數(shù)據(jù)在ECLK的下降沿通過SD/CDS引腳輸入,這些數(shù)據(jù)編碼后在命令同步序列后持續(xù)輸出。這些碼字沒有奇偶校驗和字結(jié)構(gòu)。編碼數(shù)據(jù)塊的長度由CTS決定。

  2.2.4VHDL源程序

  控制器的VHDL源文件如下(程序較長,附核心部分):

process(controlcs)beginif(controlcsventandcontrolcs=1)thencontrol_data<=databus;endif;endprocess;

ee08<=resetandcontrol_data(0);lclk595<=nottd08;sclk595<=td08anddsc08;lclk597<=control_data(3)andqq_out;sclk597<=(not(esc08andsd08))and(noteclk09);tdd08<=not(td08);cdss08<=not(cds08);cts09<=control_data(1);load<=(ee_bitor(not(control_data(1))))andqq_out;dr08<=control_data(2);ss08<=control_data(4);mr08<=control_data(5);

  信號說明如下:control_data——8位控制寄存器;controlcs——控制寄存器片選信號,低有效;mr08——hd-6408的主復(fù)位信號,高有效;dr08——hd-6408的編碼器復(fù)位信號,高有效;ee08——hd-6408的使能信號,高有效;ss08——編碼同步頭選擇;cts09——hd-6409的使能信號,低有效;lclk595——串并轉(zhuǎn)換器的載入數(shù)據(jù)信號;sclk595——串并轉(zhuǎn)換器的時鐘信號;lclk597——并串轉(zhuǎn)換器的載入數(shù)據(jù)信號;sclk597——并串轉(zhuǎn)換器的時鐘信號;load——16位計數(shù)器載入初值信號;qq_out——16位邊界指示器;tdd08——觸發(fā)中斷信號。

  3仿真與實現(xiàn)

  本系統(tǒng)采用Xilinx公司生產(chǎn)的XC95144芯片,使用XilinxFoudation3.1i軟件進行開發(fā)。所用VHDL程序通過時序仿真和下載后,觀察波形并進行實際驗證,可以正確地接收和發(fā)送曼徹斯特碼,符合設(shè)計要求。

  4結(jié)論

  本系統(tǒng)時序配合嚴格,運行可靠,易于修改;可以根據(jù)需要,靈活地加入各種各樣的使用曼徹斯特碼通信的系統(tǒng)中。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉