當前位置:首頁 > 嵌入式 > 嵌入式軟件
[導讀]FPGA發(fā)展策略和新方案盤點

全球市場回暖,中國市場的持續(xù)向好,在ASIC和ASSP市場中不斷攻城掠地等等因素都在推動FPGA市場的增長。以通信市場為例,基于可編程器件的高度靈活性,過去幾年,F(xiàn)PGA在GSM設備到LTE設備中的用量增長了3.1倍;就FPGA對ASIC和ASSP市場的滲透規(guī)模而言,在2007年之前,可編程器件相對于ASIC市場的增長而言非常緩慢,但2007年之后情況發(fā)生了改變。截止到去年年底,ASIC和ASSP的市場總量高達800億美元,但增長率已經放緩,原因在于開發(fā)成本上升太快。在90nm的節(jié)點,ASIC的掩膜成本約為100萬美金,到65nm節(jié)點,這一成本上升為200萬美金。以單價10-50美元的28nm器件價格為例,要支付包括掩膜、工程設計以及公司運營在內的成本,必須銷售出500~2700萬顆芯片,很少有應用能支持到這一用量。反觀FPGA,其在成本上的優(yōu)勢自90nm工藝節(jié)點之后開始體現(xiàn)。在130nm節(jié)點之前,F(xiàn)PGA的工藝進程升級一直晚于ASIC,但到了40nm,全球首個利用代工廠推出產品的是Altera的FPGA,目前Xilinx和Altera都有推出28nm的FPGA樣片,這一進程超過了ASIC。

綜上所述,隨著系統(tǒng)設備功能要求的不斷增加,系統(tǒng)級芯片的設計愈趨復雜,并且在設計周期、靈活度和NRE成本等方面都面臨著更大的挑戰(zhàn)。這一趨勢使得FPGA器件在電路設計、產品設計和系統(tǒng)設計中的應用正在加快。由于具備接口、控制、功能IP、內嵌CPU等資源,F(xiàn)PGA可以很容易地實現(xiàn)構造簡單,固化程度高并且功能全面的系統(tǒng)產品設計,系統(tǒng)級設計和產品已是FPGA最大的市場。

不過,針對不同的應用和設計需求,F(xiàn)PGA器件的發(fā)展也各有不同。一方面,在高端器件上是跟隨摩爾定律,通過制造工藝的升級增加邏輯單元的規(guī)模,并在提高性能的同時降低功耗的壓力;另一方面則是低成本、低功率和性能的中、低端FPGA和CPLD,如密度范圍在10K至250K LUT的器件等,下文將嘗試就目前最具代表性的四家FPGA公司(Xilinx、Altera、Lattice和Microsemi)的發(fā)展策略、產品進程和新方案做一個歸納。

Xilinx

發(fā)展策略:目標設計平臺+高性能技術

目前,Xilinx將其技術發(fā)展方向鎖定在目標設計平臺、28Gbps 串行收發(fā)器技術、堆疊硅片互聯(lián)技術、可擴展式處理平臺和7系列FPGA。毫無疑問,目標設計平臺是Xilinx在發(fā)展策略上的一個重大決策,也是其在FPGA設計方法學上的一次突破。Xilinx目標設計平臺包括全面集成且經成功測試的軟硬件、IP以及應用框架,還包括適用的設計環(huán)境。其平臺組件包括:目標參考設計(連接功能套件、DSP套件和嵌入式套件)、IP核與外設(IP核、連接功能、DSP、嵌入式處理和Xilinx的AXI4)、設計工具(ISE設計指南,包括邏輯、嵌入式系統(tǒng)和DSP)和開發(fā)板與套件。就具體目標開發(fā)而言,包括針對邏輯設計的通用FPGA開發(fā)平臺,針對特定技術領域(連接功能、DSP和嵌入式設計)的平臺技術,滿足行業(yè)應用需求的平臺(航空航天和軍工、汽車、廣播、工業(yè)、科學和醫(yī)療、無線通信和有線通信)。

28nm工藝上的7系列代表了Xilinx目前最新的產品和技術,7系列是迄今為止Xilinx同一時間最快推出的產品,包括Artix-7、Kintex-7、Virtex-7和可擴展處理器平臺Zynq,采用了TSMC特別為其開發(fā)的28nm HPL(高性能低功耗)工藝。Kintex-7擁有1920個DSP、32個12.5Gbps收發(fā)器和500個I/O口。Virtex-7則擁有5280個DSP,最多96個收發(fā)器(包括12.5Gbps、13.1Gbps和28.05Gbps三種速率),而Artix-7則擁有16個收發(fā)器,內置敏捷混合信號(AMS)的片上監(jiān)控,12位1Msps ADCs、16個獨立的輸入和片上電壓/熱傳感器,其總功耗小于1W。目前Kintex-7 325T已經開始提供樣片給客戶,首款Kintex-7開發(fā)板也已面市,AXI4 IP和目標參考設計已經開發(fā)完畢并投入運行,并且ISE 13.1 設計套件已經針對 7 系列設計開放。Kintex-7最快將在2012年第一季度轉入量產。

Xilinx可擴展式處理平臺是基于ARM Cortex-A9 MPCore 處理器的單芯片解決方案,目前推出的是Zynq-7000系列。Cortex-A9 MPCore由2個CPU組成,帶有專門的NEON協(xié)處理器(媒體和信號處理架構,增加了面向音頻、視頻、3D 圖形、影像和語言處理的指令)和雙精度浮點單元,再結合低功耗 28nm工藝技術,以實現(xiàn)高度的靈活性、強大的配置功能和高性能。Zynq-7000可擴展處理平臺的特點,一是,軟硬件可編程; 二是,處理系統(tǒng)隨時可編程;三是,可擴展的密度與性能大大提高(采用尖端的7系列FPGA構建、集成了雙12位模數(shù)轉換器,速率高達1Msps和超過3000個內部互聯(lián),帶寬高達100Gb。能提供多達760個DSP引擎,性能超過910GMAC,可實現(xiàn)大規(guī)模并行處理);四是,靈活性超過任何標準處理解決方案(54個處理器I/O、50個多標準和高性能I/O、多達12個高性能集成串行收發(fā)器和靈活的存儲器接口)。

Zynq-7000的產品系列包括Zynq-7010、Zynq-7020、Zynq-7030和Zynq-7040,Zynq-7030和Zynq-7040是兩個較大的器件,均具備高速低功耗的串行連接功能,其內置的千兆位級收發(fā)器運行速度高達10.3125 Gbps。這兩款產品分別提供約190萬和350萬個ASIC門(即125,000和235,000個邏輯單元),DSP峰值性能分別達480 GMAC 和 912 GMAC,一般是針對高端領域里的應用。Zynq-7010 和 Zynq-7020 這兩款較小的器件分別提供約 43 萬和 130 萬個 ASIC 門(即 30,000和85,000 個邏輯單元),DSP 峰值性能分別為 58 GMAC 和 158 GMAC,多應用于一些低端市場。該器件預計在年底推出樣片,據(jù)稱批量起價低于15美元,其將在性能、功耗和單位成本上超過ARM處理器+FPGA的雙芯片方案。

在收發(fā)器技術上,Xilinx在其最高端的Virtex-7 HT FPGA中集成了16個高性能28Gbps收發(fā)器,具有很好的抖動、抗噪聲干擾與串擾性能,可與新一代CFP2光學模塊接口相連,可實現(xiàn)業(yè)界最高帶寬,可為100G-400G線路卡乃至更先進的新一代通信系統(tǒng)提供最大的單FPGA解決方案。

Xilinx的堆疊硅片互聯(lián)技術(SSIT)基于7系列FPGA中邏輯架構、Block RAM、時鐘技術、DSP切片和Select I/O已經完全相同的基礎,由TSMC開發(fā)。利用該技術,單個FPGA可以包含200萬個邏輯單元,與40nm FPGA相比,功耗可降低50%。在堆疊硅片互聯(lián)結構中,數(shù)據(jù)在一系列相鄰的FPGA芯片上通過1萬多個過孔走線。相對于必須使用標準I/O連接在電路板上集成兩個FPGA而言,堆疊硅片互聯(lián)技術將單位功耗芯片間連接帶寬提升了100倍,時延減至五分之一,而且不會占用任何高速串行或并行I/O資源。在堆疊硅片互聯(lián)技術中,無源硅中介層由TSMC提供,它有四層導線層,是堆疊互聯(lián)的關鍵。由于中介層無源,因此不存在散熱問題,它使得建立在該技術上的超大規(guī)模FPGA相當于單芯片。

方案推薦:廣播視頻引擎設計平臺和SMPTE2022 IP核心

該平臺用以加速高質量視頻處理硬件的開發(fā),并通過互聯(lián)網協(xié)議以高達10 Gbps的速率交付這些視頻。以Virtex-6或Spartan-6 FPGA廣播連接套件為基礎,可先通過該套件的連接性將視頻帶入FPGA,然后創(chuàng)建視頻管線算法,Xilinx的最新廣播產品能持續(xù)提高視頻質量,同時滿足在 IP 網絡中輸送未經壓縮的 HD、3D 和 4K 視頻流的要求。

該平臺由一個廣播級質量的視頻和影像處理IP包、支持Virtex-6 FPGA的參考設計和Spartan-6 FPGA廣播連接套件組成,后者包括Xilinx ISE設計套件嵌入式開發(fā)軟件。IP 核、工具和硬件組合讓設計人員能更加輕松地為支持各種 SD/HD/3D 格式、幀率和解析度的多種廣播應用類型開發(fā)實時視頻處理鏈。此套件的FMC(FPGA 中間卡)連接器支持設計者面向IP視頻以及其他需要實時性能的廣播設計(包括突發(fā)新聞、現(xiàn)場直播活動和體育報道)接口而快速評估,并集成 了SD/HD/3G-SDI、AES3 音頻、DVI、HDMI、DisplayPort、10GbE(10 Gb 以太網)。此外,該套件也可用來創(chuàng)建數(shù)字影院和超高畫質(或超級 HDTV)系統(tǒng)中需要最高視頻質量和最高帶寬的應用。

視頻引擎目標設計平臺的關鍵特性包括:視頻和圖像處理IP包,支持 1080p60、2K 和 4K 視頻處理,提供廣播級質量的縮放、去隔行、屏幕顯示、降噪等功能;SMPTE2022 IP核的實施,在 Virtex-6 FPGA 廣播連接套件上通過 10Gb 以太網以全雙工方式顯示全帶寬、低抖動 3x 3G-SDI(或 6x HD-SDI)。此系統(tǒng)可在任何距離通過單一鏈接交付多達 6 個未壓縮的 HD 電影源;來自Tokyo Electron Devices 的 Inrevium Spartan-6 FPGA 廣播連接套件,支持全新面向 SD/HD/3G-SDI和 AES3 音頻的低成本 FMC以及面向各種顯示接口的可選 FMC,如HDMI、Disp layPort和V-by-One HS ;Virtex-7 HT FPGA 28 Gbps 下一代收發(fā)器出色的抖動性能,支持用于通信和廣播回程鏈接的超高聚合帶寬,例如有線中的 EdgeQAM / CMTS 應用,同時也非常適合 10G-SDI 標準和新興標準,以處理 4Kx2K 數(shù)字影院和超高畫質 8Kx4K 帶寬;Xilinx聯(lián)盟成員 Vanguard Software Solutions  H.264/AVC-I 視頻編碼器可在不犧牲視頻質量的情況下降低帶寬和存儲要求。借助 High10 和 High422 幀內編碼特性,設計人員可以迅速和便捷地將 AllianceCORE IP 核集成到貢獻、采集和存檔系統(tǒng)中,支持 SMPTE AVC-I Class50 & Class100;Kintex-7是行業(yè)第一款 28nm FPGA 產品,非常適合廣播應用,其提供的收發(fā)器能支持高達12.5 Gbps 帶寬,提供2倍的性能,而功耗只有上一代 FPGA 的 50%。

Altera

發(fā)展策略:嵌入式計劃+高性能技術

Altera去年開始啟動嵌入式計劃,基于這一計劃,Altera鎖定了四類目標市場:一是通信遠端(RU)設備中把基于ARM的處理器和FPGA整合為單芯片的市場;二是工控處理器市場,這些處理器原先采用Intel或Power PC內核,性能在1500DMIPS左右;三是北美和歐洲軍用市場,這一市場類似通信處理,主要負責一些數(shù)據(jù)包的處理;四是廣播信號控制和處理。

Altera嵌入式計劃包括新的系統(tǒng)級集成工具、嵌入式系統(tǒng)配置功能和統(tǒng)一的FPGA設計流程。推動Altera進行嵌入式計劃的原因在于,CPU的功耗瓶頸越來越突出,多內核+硬件加速的模式成為系統(tǒng)設計的主流,嵌入式系統(tǒng)越來越多需要使用FPGA,而支持FPGA的嵌入式選擇也越來越多,面對這些趨勢,設計的需求在于為CPU和可配置加速器提供更多的組合,降低BOM成本以及能夠為基于FPGA的CPU提供更多的OS,另外一個十分重要的支持在于能夠為各種各樣的選擇提供統(tǒng)一的FPGA設計流程。

Altera認為未來填補工藝效率的功耗瓶頸的方法正是體系結構的創(chuàng)新,嵌入式計劃提供了基于Quartus II開發(fā)軟件的單一FPGA設計流程——包括新的Qsys系統(tǒng)級集成工具、公用FPGA知識產權(IP)庫,以及新的ARM Cortex-A9 MPCore和MIPS技術公司MIPS32嵌入式處理器產品等。Qsys系統(tǒng)級集成工具可以使嵌入式設計人員面向Altera Nios II、基于ARM和MIPS的嵌入式處理器以及可配置Intel Atom處理器開始設計。Qsys利用了業(yè)界首創(chuàng)的FPGA優(yōu)化芯片網絡技術來支持多種業(yè)界標準IP協(xié)議,提高了結果質量,具有很高的效能。Qsys采用SOPC Builder界面,支持與現(xiàn)有嵌入式系統(tǒng)移植的后向兼容。而且,這一高級互聯(lián)技術將支持分層設計、漸進式編譯以及部分重新配置方法。Qsys是Altera SOPC Builder工具的后續(xù)產品,引入了FPGA優(yōu)化芯片網絡技術,與SOPC Builder相比,存儲器映射和數(shù)據(jù)通路互聯(lián)性能提高至兩倍,SOPC Builder適合單層次設計,而Qsys提高了系統(tǒng)級設計效能,適合多層次設計,并且,Qsys支持業(yè)界標準IP接口,如AMBA,使之可以支持設計重用。

作為嵌入式計劃的一部分,Altera正在拓展其嵌入式合作伙伴計劃,聯(lián)合ARM、Intel和MIPS技術公司以及FPGA業(yè)界的多個合作伙伴,與他們協(xié)作,增強設計流程,支持越來越多的FPGA嵌入式處理產品走向市場。例如Intel的基于Atom的可配置新處理器就在多芯片封裝中采用了Intel Atom E600系列以及配對的Altera FPGA。對于希望采用專用I/O或者加速硬件的用戶而言,新架構提高了他們的靈活性。Altera也與ARM簽署了協(xié)議,授權包括Cortex-A9微處理器在內的多種技術。Altera在其28nm FPGA技術中提供集成了增強Cortex-A9處理器子系統(tǒng)的產品。此外,Altera還進一步擴展了軟核處理器,將推出基于MIPS32處理器體系結構的MP32軟核處理器。MP32是Altera、MIPS技術公司以及主要用戶過去幾年密切協(xié)作的結果。它針對Altera器件完善了Altera Nios II嵌入式處理器以及合作伙伴軟核CPU系列產品,極大地豐富了FPGA可以使用的操作系統(tǒng)和應用程序。

Altera在摩爾定律的產品規(guī)劃上并非一條路向前走。在28nm工藝之前,Altera一直是在高端和中低端器件兩個方向獨立發(fā)展,并非所謂“瀑布型”自高而低的產品開發(fā)模式,在65nm節(jié)點時,Cyclone III要早于 Stratix III推出,直到28nm節(jié)點,Altera才先推出了高密度產品。在功耗控制技術方面,Altera采用了組合方式,如Stratix III中采用了可編程功耗控制技術,降低工作電壓VCC,在工藝上采用HK金屬柵極技術來解決MOS管漏電問題,并且在掩膜光刻技術上采取措施來降低芯片功耗;在收發(fā)器方面(45nm時,一個收發(fā)器通道功耗約150μW),Altera最新的28nm器件中收發(fā)器功耗為80μW。此外,Altera還通過針對應用將FPGA部分硬化,增加DSP功能,以及提高I/O和存儲器速度等措施來降低FPGA整體功耗。

方案推薦:28nm FPGA系列

在28nm制程上,Altera最新發(fā)布的是Cyclone V和Arria V FPGA系列產品、新擴展功能的是Stratix V FPGA以及此前發(fā)布的HardCopy V ASIC系列產品。為了滿足從最大帶寬到最低功耗等多種應用對性能、特性和功耗的特殊需求,在28nm系列產品中,Altera發(fā)揮了收發(fā)器技術、產品體系結構、知識產權(IP)集成和工藝技術優(yōu)勢,定制單元、突出多樣化,提供了最佳解決方案。

新產品導入了一系列新的技術:一是收發(fā)器。Altera的28nm器件支持速率從600 Mbps到28 Gbps的收發(fā)器,在整個系列產品中,Altera的28nm收發(fā)器技術基于模塊化體系結構;二是產品體系結構。在性能和效率上進行了優(yōu)化的片內存儲器;硬核和軟核存儲器控制器,支持所需的應用帶寬功耗和成本需求;在性能上進行了優(yōu)化的高端、中端,適合于各種應用和I/O;三是IP集成。為滿足重點應用的成本、功耗和性能需求,Altera增強了多種系統(tǒng)級IP,例如,PCI Express(PCIe) Gen2 x1和x4、PCIe Gen3 x8、Interlaken、40G/100G和100 Gigabit以太網(100GbE);四是工藝技術。為能夠有效的服務于多種應用,Altera在高端產品系列(Stratix V FPGA)和HardCopy V ASIC上采用了TSMC 28nm高性能(28HP)工藝技術,在低成本(Cyclone V FPGA)和中端(Arria V FPGA)系列產品上采用了TSMC的28nm低功耗(28LP)工藝技術。Altera還利用28LP工藝,在成本、性能和低功耗上達到最佳平衡。在高端采用28HP工藝技術對于實現(xiàn)高端應用需要的內核和收發(fā)器性能非常關鍵。

對于電機控制、顯示和軟件無線電等對低功耗和電路板空間要求較高的應用,Altera的Cyclone V FPGA系列是理想選擇。相對于前一代器件,Cyclone V系列總功耗降低了40%,提供工作速率高達5 Gbps的12個收發(fā)器,增強PCIe Gen2 x1模塊,以及支持LPDDR2、移動DDR和DDR3外部存儲器的硬核存儲器控制器。

針對需要在成本、低功耗和高性能上達到平衡的應用,例如,遠程射頻單元、演播合成器和10G/40G線路卡,Altera推出其Arria V FPGA系列。Arria V FPGA系列器件含有工作速率高達10 Gbps的收發(fā)器,支持DDR3外部存儲器的硬核存儲器控制器,以及含有精度可變DSP模塊的高效脈動有限沖擊響應(FIR)濾波器,相對于前幾代器件,總功耗降低了40%。

Stratix V FPGA系列滿足了多種寬帶應用需求,例如,高級LTE基站、高端RF卡和軍用雷達等。Altera進一步擴展了Stratix V系列的功能,以支持不斷增長的市場需求。Stratix V GX FPGA的收發(fā)器最大數(shù)據(jù)速率由原12.5 Gbps增加到了14.1 Gbps,以支持新出現(xiàn)的高速協(xié)議,包括FiberChannel 1600等。而且,Stratix V GX FPGA在單芯片中密度也由原700K提高到了1.1M(110萬)邏輯單元(LE),進一步幫助用戶提高了集成度。

與以前的HardCopy ASIC器件相比,HardCopy V ASIC提高了收發(fā)器、I/O和內核邏輯的性能;邏輯和存儲器集成度也進一步得到了提高。通過這些新功能,HardCopy V ASIC現(xiàn)在支持需要低功耗、低成本、產品要求提高抗單事件干擾(SEU)能力的多種應用。

[!--empirenews.page--]

Lattice

發(fā)展策略:低功耗+低成本

在可編程邏輯器件公司中,Lattice一直堅持在低密度和中密度器件市場淘金,他們不追求器件的規(guī)模和超高性能,這一定位決定了其產品技術有著很強的針對性,同時又不至于使自己被資金鏈牽著走,畢竟摩爾定律路線或者超越摩爾定律都要依靠巨大的資金投入才能實現(xiàn)。過去10年,全球近十億臺設備采用了Lattice的可編程器件,應用領域涵蓋通信、計算、消費和工業(yè)市場。在中國 ,截止到2010年的過去4年他們一直保持高于35%的年增長率,其產品針對的目標市場包括無線、寬帶接入和交換、視頻顯示、安防和工控。Lattice對中國市場的投入很大,其上海的全球設計研發(fā)中心,從硬件開發(fā)到IP和軟件設計都有涉及,整個設計量占全球45%。

Lattice的中密度器件強調的是功耗、性能和成本三者的平衡,在無線接口和安防等應用較多;低密度器件則強調低成本和易用性,主要應用于手持設備、LCD顯示、工控和邏輯粘合等領域。Lattice將注意力放在中密度和低密度器件以及混合信號產品上,并提供配套的IP、開發(fā)工具和開發(fā)板。

在摩爾定律的產品路線上,Lattice認為在60nm工藝節(jié)點之后,功耗不再隨著工藝節(jié)點的升級而減少,工藝升級對降低功耗的幫助已經排到前三個重要因素之外。功耗在40nm工藝之前反而會增加,比如同等邏輯規(guī)模的芯片,40nm工藝較65nm在功耗上要高出3倍。這就是Lattice最新的MachXO2 PLD采用65nm工藝以及MachXP2 FPGA采用95nm的原因。并且,Lattice不打算考慮40nm的工藝節(jié)點,而是會直接進入20nm節(jié)點,因為在這一節(jié)點高K金屬柵極工藝將能發(fā)揮低功耗的作用,而由于富士通已經宣布不會做20nm節(jié)點的工藝升級,所以,Lattice將會考慮在TSMC和UMC代工新工藝產品。

ECP3是Lattice中端FPGA的代表產品,是目前市場中最低功耗、具有SERDES功能的FPGA。共有5款器件,提供兼容多種標準的多協(xié)議3.2G SERDES、DDR1/2/3存儲器接口和高性能且可級聯(lián)的DSP slice,適用于RF、基帶和圖像信號處理。ECP3具有高速LVDS I/O,切換速率高達1Gbps,以及多達6.8 Mbit的嵌入式存儲器。其邏輯密度從17K LUT到149K LUT,帶有多達586個用戶I/O。ECP3系列適合大批量、成本和功耗敏感的攝像和顯示、有線和無線基礎設施等應用。 集成Flash是Lattice PLD產品的一大特點,相較于外掛Flash,單芯片可以減少成本,提高安全并且具有瞬間上電的優(yōu)勢。如MachXO2系列較之前的MachXO系列相比,提供了3倍的邏輯密度、10倍的嵌入式存儲器、降低了100倍以上的靜態(tài)功耗并減少了高達30%的成本。此外對一些常用功能,如用戶閃存(UFM)、I2C、SPI和定時器/計數(shù)器進行了固化。為了滿足產品設計需求,Lattice在去年6月推出了Diamond設計工具,旨在解決之前工具在易用性和集成度方面的不足,并在同年11月推出了1.1版本。

Lattice的另一類重要產品是可編程混合信號產品,如Platform Manager系列器件,針對電路板電源的數(shù)字化管理。該系列包括兩款器件:LPTM10-1247和LPTM10-12107。LPTM10–1247器件可以監(jiān)控12個電壓幅度,并支持47個數(shù)字I/O,而LPTM10–12107可以監(jiān)控多達12個電壓幅度,并支持107個數(shù)字I/O。該器件除了電源管理,新增了數(shù)字電路板管理部分。電源管理部分包括可編程的閾值、精度為0.7%的精確差分輸入比較塊、48個宏單元CPLD、可編程的硬件定時器、一個10位模擬數(shù)字轉換器和一個用于電源的微調和裕度的微調塊;數(shù)字電路板管理部分則包括一個640 LUT的FPGA和可編程邏輯接口I/O。

據(jù)悉,Lattice正準備開發(fā)一個“內核和衍生工具”產品戰(zhàn)略,組織“IP工廠”和“集成團隊”模式,加大更多的前端投資以加快后端速度,加快新產品開發(fā)和交付的速度。目前已將公司以往職能重復的多個研發(fā)機構重組為出色的流線性的開發(fā)中心。

方案推薦:基于FPGA的低成本設計平臺

該平臺為ECP3 Versa開發(fā)套件,適用于工業(yè)網絡、工業(yè)自動化、計算、醫(yī)療設備、國防和消費電子產品。Versa套件使主流客戶能以較低的成本評估ECP3 FPGA中的高價值設計模塊的功能:可配置的SERDES、級聯(lián)DSP slice和高速DDR3存儲器控制器。過時的傳統(tǒng)微控制器和DSP的功能將改為用Versa套件來開發(fā)成高效的FPGA解決方案,以解決在應用中新出現(xiàn)的高速設計挑戰(zhàn),如變化的視頻傳輸和中繼器、視頻圖像信號處理、攝像機控制器、網絡流量管理和適應性強的網絡結構、太陽能板控制器和數(shù)據(jù)采集與控制。

完整的Versa包包括了LatticeECP3 Versa評估板、7個演示系統(tǒng),16個免費的參考設計和用于Versa套件的Lattice Diamond的設計軟件評估許可證。功能豐富的LatticeECP3 Versa評估板帶有PCI Express 1.1 x1和千兆比特以太網接口。板上的SMA連接器展示低抖動的LatticeECP3 SERDES的電氣質量,并提 供用于外部模塊SFP收發(fā)器的擴展端口。

完整的Versa包包括:ECP3 Versa評估板,包含PCI Express 1.1 x1和雙千兆比特以太網接口;多種演示,包括PCI Express 1.1系統(tǒng)設計演示、千兆比特以太網網絡演示、高速DDR3存儲器控制器演示,以及容忍抖動的SERDES眼圖演示;用于Windows和Linux平臺的PCI Express設備的驅動程序;16個適用于 LatticeECP3 FPGA系列的免費參考設計;通過PC機用迷你USB電纜對FPGA編程和快速入門指南。

在該平臺發(fā)布時,Lattice提供了限量促銷的5個全面的IP套件,以加速客戶產品的上市時間。套件提供用于解決各種復雜設計問題的現(xiàn)成模塊,如高速數(shù)據(jù)傳輸、以太網、高速存儲器接口、數(shù)字信號處理和視頻像素處理。

Microsemi

發(fā)展策略:高可靠性+低功耗

在宣布收購Actel之時,Microsemi曾表示,這一收購將增強Microsemi在各終端市場的混合信號產品線,擴展 Microsemi的系統(tǒng)級解決方案與可編程 SoC能力,擴展航天、軍事和工業(yè)領域的產品門檻以及提供即時EPS增長與協(xié)同優(yōu)勢。收購了Actel之后,Microsemi的FPGA產品發(fā)展延續(xù)著Actel“功率至關重要(Power Matters)”的方向,以及高可靠性、集成快閃技術。其目標市場是消費產品、便攜醫(yī)療產品、綠色數(shù)據(jù)中心、工業(yè)控制、汽車、軍用和航天。Microsemi的FPGA產品線包括最低功耗的IGLOO、低功耗的ProASIC3、SmartFusion混合信號FPGA和Fusion混合信號FPGA。

IGLOO系列FPGA包括IGLOO/e、IGLOO nano,以及IGLOO PLUS器件,是可重編程Flash FPGA,適用于便攜電子產品和功率敏感電子產品的設計。該系列基于Actel的非易失性Flash技術及單芯片 ProASIC 3/E FPGA架構,工作電壓為1.2 V / 1.5 V。IGLOO系列最多可支持300萬系統(tǒng)門,并配備真正的雙端口SRAM,容量高達504 KB,該系列器件亦支持多達6個嵌入PLL和620個用戶I/O。需要32位處理能力的低功耗應用可采用ARM Cortex-M1處理器核,在M1 IGLOO器件中使用無需授權費用或權益金。

IGLOO系列器件采用了Flash*Freeze技術,能夠輕易地進入和退出超低功耗模式,該模式下的功耗僅2 μW,同時可保存SRAM和寄存器中的數(shù)據(jù)。該技術通過I/O和時鐘管理簡化了功率管理,并無需關斷電壓、I/O或系統(tǒng)層面的時鐘。在Flash*Freeze模式下,IGLOO 器件的功耗僅為2 μW,無需添加額外的部件即可關斷I/O或時鐘,同時卻可保存設計的信息、SRAM內容和寄存器狀態(tài)。I/O能夠在 Flash*Freeze模式中維持配置的狀態(tài),進入和退出Flash*Freeze模式所需的時間少于1 μs。此外,IGLOO 器件的低功耗有源(Low Power Active)功能可實現(xiàn)超低的功耗,并同時通過維持I/O、SRAM、寄存器和邏輯功能使到系統(tǒng)處于完全操作狀態(tài)。I/O可在Flash*Freeze模式保持其狀態(tài)。這樣,IGLOO 器件便可在功耗最小的情況下,通過外部輸入 (如鍵盤觸發(fā)掃描) 來控制系統(tǒng)的功率管理。

ProASIC3系列基于第三代架構Flash技術,包括ProASIC3/E(帶有ARM Cortex-M1和CoreMP7)、ProASIC3 nano和ProASIC3L(帶有ARM Cortex-M1),在功耗、價格、性能、密度和特點方面實現(xiàn)了突破,適用于大批量應用。ProASIC3器件支持ARM Cortex-M1 和ARM7軟IP核,提供了編程和上市時間方面的優(yōu)勢,價格低至0.49美元。ProASIC3系列以非易失性Flash技術為基礎,并支持1萬至300萬個系統(tǒng)門和多達620個I/O。該系列器件除了提供商用和工業(yè)溫度器件以支持便攜、消費電子、工業(yè)、通信和醫(yī)療應用之外,還提供經特別甄選的汽車用和軍用FPGA產品。

ProASIC3系列的其他主要特點包括:支持1.2 V或1.5V內核電壓,成本優(yōu)化、可重編程、非易失性存儲,支持用于器件配置的128位AES解密,單芯片、上電即用,針對高性能而優(yōu)化,1024位用戶閃存,增強的I/O結構,具有由大氣中子引發(fā)配置數(shù)據(jù)損失的免疫能力 (固件錯誤),提供汽車(T-Grade)和軍用溫度級別產品和通過了ISO/TS 16949:2002認證。

SmartFusion是目前市面唯一集成FPGA、ARM Cortex-M3處理器硬核,以及可編程模擬資源于一體的器件,能夠實現(xiàn)完全可定制系統(tǒng) 設計和IP保護能力,而且易于使用。SmartFusion 基于Flash技術,為需要真正的單芯片系統(tǒng)的硬件和嵌入式系統(tǒng)設計師提供比傳統(tǒng)專屬功能微控制器更大的靈活性,而成本又比現(xiàn)有使用軟核處理器的FPGA低得多。該器件的主要優(yōu)點表現(xiàn)在具有完全可定制系統(tǒng)設計能力(利用片上FPGA 實現(xiàn)最后一分鐘的功能修改、可為FGPA架構內的個別算法試驗硬件加速)、IP保護能力(MCU和FPGA接口內置、128位先進加密標準ISP、FlashLock防止反向讀取FPGA 內容、利用客戶可編程器件密鑰避免器件過建 )、易用性提高生產率(單個平臺構建整個產品、為FPGA和嵌入式設計人員而設的集成設計環(huán)境、利用簡單的GUI工具對復雜的可編程模擬資源進行配置、Micrium 提供RTOS和中間件支持)三個方面。

Fusion產品是混合信號FPGA平臺,將可配置模擬部件、大容量Flash內存構件、全面的時鐘生成和管理電路,以及基于Flash的高性能可編程邏輯集成在單片器件中。該架構可與Actel軟MCU內核及32位ARM Cortex-M1和CoreMP7內核同用。其主要特點是系統(tǒng)內可配置模擬功能支持眾多應用,提供最大為8Mb的Flash內存 ,擁有豐富的時鐘資源(模擬 PLL、精度達1%的RC振蕩器、晶振電路、實時計數(shù)器),以及Flash架構。

方案推薦:65nm嵌入式快閃平臺

這一最新的65nm嵌入式快閃平臺用于構建Microsemi下一代基于快閃的可定制SoC。Microsemi的低功耗智能混合信號和系統(tǒng)關鍵系列SoC具有四輸入查找表(LUT)架構,將會集中使用現(xiàn)代化的65nm嵌入式快閃工藝。相比前一代產品,新器件密度能夠提高一個數(shù)量級,性能則提升一倍。新平臺能夠降低動態(tài)功耗65%,并提升Flash*Freeze特性以降低靜態(tài)電流。新平臺集成了ARM Cortex-M3 MCU,具有數(shù)千萬FPGA系統(tǒng)門和防篡改保護功能、防止過度建設的保護功能。新器件將備有行業(yè)標準總線接口,并集成增強的知識產權部件如嵌入式微處理器內核、DSP模塊、高速收發(fā)器、存儲器接口、非易失性閃存和可編程模擬部件。

在現(xiàn)今的設計中,對低功耗、固件錯誤免疫力、安全性和高集成度需求很高,在65nm工藝節(jié)點,Microsemi能夠提高產品密度并改善功耗特性和性能,為工業(yè)、醫(yī)療、軍事/航天、航空、通信和消費產品市場提供更多選擇。

該平臺由Microsemi與臺灣UMC合作,是業(yè)界首個65nm嵌入式快閃工藝,目前,Microsemi內部業(yè)已完成首個商業(yè)化硅器件。與此同時,該公司還宣布了下一代基于快閃技術的耐輻射(RT) SoC的產品,第四代RT FPGA具有多達2000萬個系統(tǒng)門,提供更大的觸發(fā)器、存儲器和增強的嵌入式IP內核陣列。這些器件將包括數(shù)字信號處理(DSP)模塊、PLL和高速接口(如SpaceWire、DDR2/3、PCI Express),以便快速、有效地在片上和片外獲取數(shù)據(jù)。全新的基于快閃技術的FPGA架構能夠緩減總體輻射劑量和單事件效應(SEE)。
 

本站聲明: 本文章由作者或相關機構授權發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內容真實性等。需要轉載請聯(lián)系該專欄作者,如若文章內容侵犯您的權益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或將催生出更大的獨角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉型技術解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術公司SODA.Auto推出其旗艦產品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關鍵字: 汽車 人工智能 智能驅動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務中斷的風險,如企業(yè)系統(tǒng)復雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務連續(xù)性,提升韌性,成...

關鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網易近期正在縮減他們對日本游戲市場的投資。

關鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產業(yè)博覽會開幕式在貴陽舉行,華為董事、質量流程IT總裁陶景文發(fā)表了演講。

關鍵字: 華為 12nm EDA 半導體

8月28日消息,在2024中國國際大數(shù)據(jù)產業(yè)博覽會上,華為常務董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權最終是由生態(tài)的繁榮決定的。

關鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應對環(huán)境變化,經營業(yè)績穩(wěn)中有升 落實提質增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務引領增長 以科技創(chuàng)新為引領,提升企業(yè)核心競爭力 堅持高質量發(fā)展策略,塑強核心競爭優(yōu)勢...

關鍵字: 通信 BSP 電信運營商 數(shù)字經濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術學會聯(lián)合牽頭組建的NVI技術創(chuàng)新聯(lián)盟在BIRTV2024超高清全產業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術創(chuàng)新聯(lián)...

關鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關鍵字: BSP 信息技術
關閉
關閉