當(dāng)前位置:首頁 > 技術(shù)學(xué)院 > 熱搜器件
[導(dǎo)讀]以前做硬件,現(xiàn)在轉(zhuǎn)做軟件了,對以前做的東西還是很懷念,想當(dāng)年做的一個(gè)用Altera公司的EPM7128SLC84-7和ADC0809做的一個(gè)簡單系統(tǒng),玩得團(tuán)團(tuán)轉(zhuǎn),多有成就感啊。特別覺得狀態(tài)機(jī)的思想很奇妙。所以現(xiàn)在找了一篇VHDL狀態(tài)

以前做硬件,現(xiàn)在轉(zhuǎn)做軟件了,對以前做的東西還是很懷念,想當(dāng)年做的一個(gè)用Altera公司的EPM7128SLC84-7和ADC0809做的一個(gè)簡單系統(tǒng),玩得團(tuán)團(tuán)轉(zhuǎn),多有成就感啊。特別覺得狀態(tài)機(jī)的思想很奇妙。所以現(xiàn)在找了一篇VHDL狀態(tài)機(jī)的程序,轉(zhuǎn)帖懷念。

基于VHDL語言實(shí)現(xiàn)對ADC0809簡單控制源碼

--------------------------------------------------------------------------------

--文件名:ADC0809.vhd

--功能:基于VHDL語言,實(shí)現(xiàn)對ADC0809簡單控制

--說明:ADC0809沒有內(nèi)部時(shí)鐘,需外接10KHz~1290Hz的時(shí)鐘信號,這里由FPGA的系

--統(tǒng)時(shí)鐘(50MHz)經(jīng)256分頻得到clk1(195KHz)作為ADC0809轉(zhuǎn)換工作時(shí)鐘。

--最后修改日期:2004.3.20.

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity ADC0809 is

port ( d : in std_logic_vector(7 downto 0); --ADC0809輸出的采樣數(shù)據(jù)

clk,eoc : in std_logic; --clk為系統(tǒng)時(shí)鐘,eoc為ADC0809轉(zhuǎn)換結(jié)束信號

clk1,start, ale,en: out std_logic; --ADC0809控制信號

abc_in :in std_logic_vector(2 downto 0); --模擬選通信號

abc_out :out std_logic_vector(2 downto 0); --ADC0809模擬信號選通信號

q : out std_logic_vector(7 downto 0)); --送至8個(gè)并排數(shù)碼管信號

end ADC0809;

architecture behav of ADC0809 is

type states is ( st0,st1, st2, st3, st4,st5,st6); --定義各狀態(tài)的子類型

signal current_state, next_state:states:=st0;

signal regl :std_logic_vector(7 downto 0); --中間數(shù)據(jù)寄存信號

signal qq:std_logic_vector(7 downto 0);

begin

com:process(current_state,eoc) --規(guī)定各種狀態(tài)的轉(zhuǎn)換方式

begin

case current_state is

when st0=>next_state<=st1;ale<='0';start<='0';en<='0';

when st1=>next_state<=st2;ale<='1';start<='0';en<='0';

when st2=>next_state<=st3;ale<='0';start<='1';en<='0';

when st3=> ale<='0';start<='0';en<='0';

if eoc='1' then next_state<=st3; --檢測EOC的下降沿

else next_state<=st4;

end if;

when st4=> ale<='0';start<='0';en<='0';

if eoc='0' then next_state<=st4; --檢測EOC的上升沿

else next_state<=st5;

end if;

when st5=>next_state<=st6;ale<='0';start<='0';en<='1';

when st6=>next_state<=st0;ale<='0';start<='0';en<='1';regl<=d;

when others=> next_state<=st0;ale<='0';start<='0';en<='0';

end case;

end process;

clock:process(clk) --對系統(tǒng)時(shí)鐘進(jìn)行分頻,得到ADC0809轉(zhuǎn)換工作時(shí)鐘

begin

if clk'event and clk='1' then qq<=qq+1; --在clk1的上升沿,轉(zhuǎn)換至下一狀態(tài)

if QQ="01111111" THEN clk1<='1'; current_state <=next_state;

elsif qq<="01111111" then clk1<='0';

end if;

end if;

end process;

q<=regl; abc_out<=abc_in;

end behav;

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉