當(dāng)前位置:首頁(yè) > 芯聞號(hào) > 充電吧
[導(dǎo)讀]//UART串行口模塊,波特率9600bps module?UART ( sys_clk,//系統(tǒng)時(shí)鐘輸入 reset_n,//異步復(fù)位輸入 Rx,//數(shù)據(jù)輸入引腳


//UART串行口模塊,波特率9600bps

module?UART	(
					sys_clk,//系統(tǒng)時(shí)鐘輸入
					reset_n,//異步復(fù)位輸入
					Rx,//數(shù)據(jù)輸入引腳
					NewRxData,//接收到新數(shù)據(jù)
					RxDATA//RxDATA當(dāng)前接收的數(shù)據(jù)
				);
				
	input		sys_clk,reset_n,Rx;
	output	NewRxData;
	output?[7?:?0]?RxDATA;
	reg?[7?:?0]?RxDATA;
	
	parameter?SYS_CLK?=?20000000;//系統(tǒng)時(shí)鐘
	parameter?Rx_CLK?=?9600;//9600bps
	parameter?RxDATA_W?=?12;//波特率時(shí)鐘發(fā)生器分頻寄存器位寬
	parameter?RXCLK_DATA?=?SYS_CLK?/?Rx_CLK?-?1;//波特率分頻器時(shí)鐘分頻值?(2083)
	
	
	//波特率時(shí)鐘發(fā)生器
	reg	[RxDATA_W-1?:?0]?clk_cnt;
	reg	EN_RXCLK;//使能接收時(shí)鐘
	wire	RX_CLK;//接收波特率時(shí)鐘
	always?@?(posedge?sys_clk?or?negedge?reset_n)
		if(!reset_n)
			clk_cnt?<=?12'd0;	
		else?if(!EN_RXCLK)//不需要使能時(shí)鐘
			clk_cnt?<=?12'd0;	
		else?if(clk_cnt?==?RXCLK_DATA)
			clk_cnt?<=?12'd0;
		else
			clk_cnt?<=?clk_cnt?+?1'b1;
			
	assign?RX_CLK?=?(clk_cnt?==?RXCLK_DATA/2);//產(chǎn)生接收時(shí)鐘
	
	
	//接收數(shù)據(jù)線下降沿檢測(cè),用來(lái)啟動(dòng)數(shù)據(jù)接收
	//采用邊沿檢測(cè)法,因?yàn)閿?shù)據(jù)線空閑位高,起始位位低,因此1幀數(shù)據(jù)開始有一個(gè)下降沿
	reg?	RxThis,RxLast;
	wire	RxStart;
	always?@?(posedge?sys_clk?or?negedge?reset_n)
		if(!reset_n)?begin
			RxThis?=?1'b0;
			RxLast?=?1'b0;
			end
		else?begin
			RxLast?<=?RxThis;
			RxThis?<=?Rx;
			end
	
	assign?RxStart?=?RxLast&&(!RxThis);//產(chǎn)生起始信號(hào) 
	
	
	//數(shù)據(jù)接收控制邏輯
	reg?[10?:?0]?RxTemp;
	reg?[4?:?0]??RxState;
	reg?NewRxData;
	always?@?(posedge?sys_clk?or?negedge?reset_n)
		if(!reset_n)?begin
			RxDATA?=?8'd0;
			RxTemp?=?11'd0;
			RxState?=?5'd0;
			EN_RXCLK?=?1'b0;//停止接收時(shí)鐘
			NewRxData?=?1'b0;//去除新數(shù)據(jù)標(biāo)志
			end
		else?if((RxState==5'd0)?&&?RxStart)begin//有起始信號(hào),并且接收器空閑,則再次檢測(cè)起始信號(hào)
				EN_RXCLK?<=?1'd1;//啟動(dòng)接收時(shí)鐘
				RxState?<=?5'b1;?//進(jìn)入接收狀態(tài)機(jī)
				end
		else?if(RX_CLK)?begin?//每個(gè)接收時(shí)鐘啟動(dòng)一次
				case?(RxState)?//synthesis?full_case
					5'd1	:	begin
								RxTemp[0]?=?Rx;//接收起始位
								RxState?<=?5'd2;
								end
					5'd2	:	begin
								RxTemp[1]?=?Rx;//bit0
								RxState?<=?5'd3;
								end
					5'd3	:	begin
								RxTemp[2]?=?Rx;//bit1
								RxState?<=?5'd4;
								end
					5'd4	:	begin
								RxTemp[3]?=?Rx;//bit2
								RxState?<=?5'd5;
								end
					5'd5	:	begin
								RxTemp[4]?=?Rx;//bit3
								RxState?<=?5'd6;
								end
					5'd6	:	begin
								RxTemp[5]?=?Rx;//bit4
								RxState?<=?5'd7;
								end
					5'd7	:	begin
								RxTemp[6]?=?Rx;//bit5
								RxState?<=?5'd8;
								end
					5'd8	:	begin
								RxTemp[7]?=?Rx;//bit6
								RxState?<=?5'd9;
								end
					5'd9	:	begin
								RxTemp[8]?=?Rx;//bit7
								RxState?<=?5'd10;
								end
					5'd10	:	begin
								RxTemp[9]?=?Rx;//校驗(yàn)位
								RxState?<=?5'd11;
								end
					5'd11	:	begin
								RxTemp[10]?=?Rx;//結(jié)束位,接收完成
								EN_RXCLK?<=?1'b0;//停止接收時(shí)鐘
								RxState?<=?5'd0;//接收進(jìn)入空閑狀態(tài)
								if(!RxTemp[0]?&&?RxTemp[10])?begin//有正確的起始和停止位
									RxDATA?<=?RxTemp[8?:?1];//保存接收的數(shù)據(jù)
									NewRxData?=?1'b1;//新數(shù)據(jù)標(biāo)志置位
									end
								end
					endcase
			end?//end?else?if
		else
			NewRxData?=?1'b0;//去除新數(shù)據(jù)標(biāo)志
		
endmodule	
			

串口資料大家網(wǎng)上找找,我也是個(gè)新手,歡迎交流.

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉