當(dāng)前位置:首頁 > 工業(yè)控制 > 工業(yè)控制
[導(dǎo)讀]摘要:在CAN網(wǎng)絡(luò)中傳輸攝文時(shí),噪聲干擾或傳輸中斷等因素往往使接收端收到的報(bào)文出現(xiàn)錯(cuò)碼。為了及時(shí)可靠地把報(bào)文傳輸給對方并有效地檢測錯(cuò)誤,需要采用差錯(cuò)控制。詳細(xì)介紹了CAN總線中循環(huán)冗余校驗(yàn)碼的差錯(cuò)控制原理及

摘要:在CAN網(wǎng)絡(luò)中傳輸攝文時(shí),噪聲干擾或傳輸中斷等因素往往使接收端收到的報(bào)文出現(xiàn)錯(cuò)碼。為了及時(shí)可靠地把報(bào)文傳輸給對方并有效地檢測錯(cuò)誤,需要采用差錯(cuò)控制。詳細(xì)介紹了CAN總線中循環(huán)冗余校驗(yàn)碼的差錯(cuò)控制原理及其實(shí)現(xiàn)方法。

    關(guān)鍵詞:循環(huán)冗余校驗(yàn) 差錯(cuò)控制 報(bào)文

在CAN系統(tǒng)中為保證報(bào)文傳輸?shù)恼_性,需要對通信過程進(jìn)行差錯(cuò)控制。目前常用的方法是反饋重發(fā),即一旦收到接收端發(fā)出的出錯(cuò)信息,發(fā)送端便自動重發(fā),此時(shí)的差錯(cuò)控制只需要檢錯(cuò)功能。常用的檢錯(cuò)碼兩類:奇偶校驗(yàn)碼和循環(huán)冗余校驗(yàn)碼。奇偶校驗(yàn)碼是一種最常見的檢錯(cuò)碼,其實(shí)現(xiàn)方法簡單,但檢錯(cuò)能力較差;循環(huán)冗余校驗(yàn)碼的編碼也很簡單且誤判率低,所以在通信系統(tǒng)中獲得了廣泛的應(yīng)用。下面介紹CAN網(wǎng)絡(luò)中循環(huán)冗余校驗(yàn)碼(即CRC碼)的原理和實(shí)現(xiàn)方法。

1 CRC碼檢錯(cuò)的工作原理

CRC碼檢錯(cuò)是將被處理報(bào)文的比特序列當(dāng)作一個(gè)二進(jìn)制多項(xiàng)式A(x)的系數(shù),該系數(shù)除以發(fā)送方和接收方預(yù)先約定好的生成多項(xiàng)式g(x)后,將求得的余數(shù)P(x)作為CRC校驗(yàn)碼附加到原始的報(bào)文上,并一起發(fā)給接收方。接收方用同樣的g(x)去除收到的報(bào)文B(x),如果余數(shù)等于p(x),則傳輸無誤(此時(shí)A(x)和B(x)相同);否則傳輸過程中出錯(cuò),由發(fā)送端重發(fā),重新開始CRC校驗(yàn),直到無誤為止。

上述校驗(yàn)過程中有幾點(diǎn)需注意:①在進(jìn)行CRC計(jì)算時(shí),采用二進(jìn)制(模2)運(yùn)算法,即加法不進(jìn)位,減法不借位,其本質(zhì)就是兩個(gè)操作數(shù)進(jìn)行邏輯異或運(yùn)算;②在進(jìn)行CRC計(jì)算前先將發(fā)送報(bào)文所表示的多項(xiàng)式A(x)乘以xn,其中n為生成多項(xiàng)式g(x)的最高冪值。對二進(jìn)制乘法來講,A(x)·xn就是將A(x)左移n位,用來存放余數(shù)p(x),所以實(shí)際發(fā)送的報(bào)文就變?yōu)锳(x)·xn+p(x);③生成多項(xiàng)式g(x)的首位和最后一位的系數(shù)必須為1。

圖1為CRC校驗(yàn)的工作過程。

目前已經(jīng)有多種生成多項(xiàng)式被列入國際標(biāo)準(zhǔn)中,如:CRC-4、CRC-12、CRC-16、CCITT-16、CRC-32等。CAN總線中采用的生成多項(xiàng)式為g(x)=x15+x14+x10+x8+x7+x4+x3+1??梢钥闯觯珻ANU叫線中的CRC校驗(yàn)采用的多項(xiàng)式能夠校驗(yàn)七級,比一般CRC校驗(yàn)(CRC-4、CRC-12、CRC-16等)的級數(shù)(二~五級)要高許多,因而它的檢錯(cuò)能力很強(qiáng),誤判率極低,成為提高數(shù)據(jù)傳輸質(zhì)量的有效檢錯(cuò)手段。

圖2 產(chǎn)生CRC校驗(yàn)碼的硬件電路

2 CRC碼的電路實(shí)現(xiàn)

2.1 硬件電路的特點(diǎn)

CAN總線中為了產(chǎn)生CRC碼,硬件電路除了具有復(fù)位和時(shí)鐘信號以外,還需要以下兩個(gè)控制信號的參與:①填充位解除信號destuff,它的有效邏輯值是1;②CRC檢驗(yàn)的使能信號enable,有效邏輯也為1。該硬件電路的特點(diǎn)是采用選擇器和反相器代替?zhèn)鹘y(tǒng)設(shè)計(jì)中用的異或門,既實(shí)現(xiàn)了比較功能,又降低了生產(chǎn)成本,同時(shí)也為工程師們提供了一種新的設(shè)計(jì)思路。

2.2 硬件電路圖

圖2即為實(shí)現(xiàn)CRC碼的硬件電路圖。

圖中需要說明的幾點(diǎn)如下:①使能信號和填充位解除信號省略;②crcnxt代表的邏輯值為輸入報(bào)文序列和CRC寄存器的最高位異或的結(jié)果;③標(biāo)號0~14所指示的為15位CRC寄存器,上升沿觸發(fā);④標(biāo)號1~6所指示的為選擇器和反相器的組合邏輯,實(shí)現(xiàn)異或功能,該選擇器的邏輯功能為Y=AB+AC,具體結(jié)構(gòu)如圖3所示。

2.3 電路工作過程

從以上分析可知:①當(dāng)enable=0時(shí),CRC清0;②當(dāng)enable=1、destuff=1時(shí),進(jìn)行正常CRC計(jì)算;③當(dāng)enable=1而destuff=0時(shí),正在解除填充時(shí),數(shù)據(jù)暫停傳送。

在各個(gè)控制信號均有效時(shí),輸入報(bào)文的每一位都是和CRC寄存器的最高位相異和后移入最低位,同時(shí)寄存器的第13、9、7、6、3、2位均和其最高位異或,結(jié)果分別左移一位;其它未進(jìn)行異或操作的寄存器位值也分別左移一位,直到報(bào)文的每一位都移入CRC寄存器為止,此時(shí)寄存器中的值取為計(jì)算得到的CRC碼。

如果報(bào)文的比特序列長度為16,則需要左移16次才能對報(bào)文的每一位均進(jìn)行處理。如果以Ck表示CRC寄存器的第k位位值、Ck'表示移位后的第k位位值(k=0,1,2,3……15),則移位規(guī)律見表1。

表1 移位規(guī)律表

C14'=C13^crcnxt C13'=12 C12'=C11 C11'=C10
C10'=C9^crcnxt C9'=C8 C8'=C7^crcnxt C7'=C6^crcnxt
C6'=C5 C5'=C4 C4'=C3^crcnxt C3'=C2^crcnxt
C2'=C1 C1'=C0 C0'=crcnxt^datain  

3 CRC校驗(yàn)碼的軟件實(shí)現(xiàn)

CRC校驗(yàn)用軟件實(shí)現(xiàn)起來非常方便。鑒于目前的資料中介紹的方法多使用C語言、匯編語言等實(shí)現(xiàn),而缺乏用硬件描述語言實(shí)現(xiàn),這里給出CRC碼的Verilog HDL之行為級描述程序。

本程序在Verilog_XL下編譯通過,同時(shí)在Synopsis上成功進(jìn)行了綜合及優(yōu)化。

//用Verilog HDL實(shí)現(xiàn)CRC碼

module crc(clk,rst,enable,destuff,datain,crc);

input clk;

input rst;

input enable;

input destuff;

input datain;

output[14:0]crc;

reg[14:0]crc;

wire crcnxt=datain^crc[14];

always@(posedge rst or posedge clk)

begin

if(rst)crc=0;

else if(enable && destuff)

begin

if(crcnxt)

crc<=crc^15h'4599;

else

crc<={crc[13:0],1'b0};

end

end

endmodule

圖4 crc仿真波形圖

4 仿真波形

假設(shè)發(fā)送的是一個(gè)標(biāo)準(zhǔn)格式的遠(yuǎn)程幀,需求的數(shù)據(jù)字節(jié)為8,標(biāo)識符序列為10101011000,則對上述程序仿真后的波形如圖4所示。Crc序列從第20位開始輸出。

CRC校驗(yàn)碼的檢錯(cuò)能力很強(qiáng),并且由于CRC碼檢錯(cuò)的軟件和硬件實(shí)現(xiàn)都很簡單,因而被廣泛地應(yīng)用于各類數(shù)據(jù)校驗(yàn)中。CRC碼檢錯(cuò)是提高數(shù)據(jù)傳輸質(zhì)量、高效檢錯(cuò)的有力手段。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉