當(dāng)前位置:首頁 > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]1 引 言  UART 即通用異步收發(fā)器,他廣泛使用串行數(shù)據(jù)傳輸協(xié)議。UART 功能包括微處理器接口、用于數(shù)據(jù)傳輸?shù)木彌_器(Buffer)、幀產(chǎn)生、奇偶校驗(yàn)、并串轉(zhuǎn)換,用于數(shù)據(jù)接收的緩沖器、幀產(chǎn)生、奇偶校驗(yàn)、串并轉(zhuǎn)換等。

1 引 言

  UART 即通用異步收發(fā)器,他廣泛使用串行數(shù)據(jù)傳輸協(xié)議。UART 功能包括微處理器接口、用于數(shù)據(jù)傳輸?shù)木彌_器(Buffer)、幀產(chǎn)生、奇偶校驗(yàn)、并串轉(zhuǎn)換,用于數(shù)據(jù)接收的緩沖器、幀產(chǎn)生、奇偶校驗(yàn)、串并轉(zhuǎn)換等。UART的特點(diǎn)是一個(gè)字符接一個(gè)字符傳輸,并且傳送一個(gè)字符總是以起始位開始,以停止位結(jié)束,字符之間沒有固定的時(shí)間間隔要求。每一個(gè)字符的前面都有一位起始位(低電平,邏輯值0) , 字符本身由5~ 8 位數(shù)據(jù)位組成,接著字符后面是一位校驗(yàn)位,最后是停止位(1 位,或1 位半,或2位) , 停止位后面是不定長(zhǎng)度的空閑位。停止位和空閑位都規(guī)定高電平(邏輯值1) , 這樣可以保證起始位開始處有一個(gè)下降沿。在一般的使用中往往不需要使用完整的UART功能,比如對(duì)于多串口的設(shè)備或需要加密通訊的場(chǎng)合使用UART 就不是最合適的。如果設(shè)計(jì)上用到FPGA ?CPLD器件,那么就可以將所需要的UART 功能集成到FPGA內(nèi)部,從而使整個(gè)設(shè)計(jì)更加緊湊、穩(wěn)定、可靠。分析UART的結(jié)構(gòu),UART 主要由數(shù)據(jù)總線接口、控制邏輯和狀態(tài)接口、波特率發(fā)生器、發(fā)送和接收等部分組成。在本設(shè)計(jì)中,固定數(shù)據(jù)幀格式為: 開始位(1 b 低電平)、8 位數(shù)據(jù)位、偶校驗(yàn)、停止位(1 b 高電平) , 波特率可調(diào)。

  2 波特率發(fā)生模塊

  設(shè)計(jì)的UART 的接收和發(fā)送按照相同的波特率進(jìn)行,波特率可以通過接口模塊的總線接口進(jìn)行設(shè)置。

  UART 收發(fā)的每一個(gè)數(shù)據(jù)寬度都是波特率發(fā)生器輸出的時(shí)鐘周期的16 倍,即假定當(dāng)前按照9 600 b?s 進(jìn)行收發(fā),那么波特率發(fā)生器的輸出時(shí)鐘頻率應(yīng)該為9 600×16 Hz.

  假定提供的外部時(shí)鐘為116MHz, 可以很簡(jiǎn)單地通過總線寫入不同的數(shù)值到波特率發(fā)生器保持寄存器,然后用計(jì)數(shù)器的方式生成所需要的各種波特率,即分頻器。計(jì)算公式為: 1 600 000?(16×所期望的波特率) - 1, 如果希望輸出10 000 Hz 的波特率,可以得出從總線寫入的數(shù)值為1 600 000?(16×10 000) - 1= 9 (09H)。

  3 發(fā)送模塊

  根據(jù)UART 協(xié)議的描述,發(fā)送邏輯流程如圖1 所示。

  發(fā)送數(shù)據(jù)由接口模塊控制,接口模塊給出w rn 信號(hào),發(fā)送器根據(jù)此信號(hào)將并行數(shù)據(jù)鎖存,并通過發(fā)送保持寄存器和發(fā)送移位寄存器發(fā)送并行數(shù)據(jù)。由計(jì)數(shù)器no_ bs_sent 控制狀態(tài)的轉(zhuǎn)移,即數(shù)據(jù)的發(fā)送,計(jì)數(shù)值為1 時(shí),數(shù)據(jù)從發(fā)送保持寄存器傳送到發(fā)送移位寄存器,計(jì)數(shù)值為2時(shí),發(fā)送開始位(1 b 低電平) , 計(jì)數(shù)值為3~ 10, 發(fā)送8 位數(shù)據(jù),計(jì)數(shù)器為11, 發(fā)送校驗(yàn)位,計(jì)數(shù)值為12, 發(fā)送1 位停止位,計(jì)數(shù)器隨后清零。發(fā)送時(shí)鐘是根據(jù)數(shù)據(jù)傳輸?shù)牟ㄌ芈十a(chǎn)生的,16 倍于波特率發(fā)生器產(chǎn)生的時(shí)鐘。

  

  圖1 發(fā)送邏輯的流程

  發(fā)送模塊信號(hào):

  rst (輸入) : 復(fù)位端口, 低電平有效;

  w rn (輸入) : 寫控制信號(hào);

  din [ 0: 7 ] (輸入) : 并行數(shù)據(jù)輸入信號(hào);

  clk16x (輸入) : 外部時(shí)鐘信號(hào);

  tbre (輸出) : 發(fā)送保持寄存器空信號(hào), 高電平有效;

  t sre (輸出) : 發(fā)送移位寄存器空信號(hào), 高電平有效;

  sdo (輸出) : 串行數(shù)據(jù)輸出信號(hào)。

  用VHDL 語言編寫代碼,使用Xinlinx 的ISE511 進(jìn)行邏輯綜合,運(yùn)用Modelsim 7.2 做時(shí)序仿真,其結(jié)果如圖2所示。

  

  圖2 發(fā)送模塊時(shí)序仿真波形圖

 4 接收模塊

  根據(jù)UART 的協(xié)議描述,可以畫出如圖3 所示的接收邏輯流程圖。接收邏輯首先通過檢測(cè)輸入數(shù)據(jù)的下降沿來檢查起始位,然后產(chǎn)生接收時(shí)鐘,利用接收時(shí)鐘來采樣串行輸入數(shù)據(jù),在緩沖器中作移位操作,同時(shí)產(chǎn)生校驗(yàn)位,在第9 位處比較校驗(yàn)位是否正確,在第10 位處比較停止位是否為高,在校驗(yàn)位錯(cuò)誤或停止位錯(cuò)誤的情況下產(chǎn)生錯(cuò)誤指示信號(hào)。接收時(shí)鐘是根據(jù)數(shù)據(jù)傳輸?shù)牟ㄌ芈十a(chǎn)生的,16 倍于波特率發(fā)生器產(chǎn)生的時(shí)鐘。

  接收模塊信號(hào):

  rst (輸入) : 復(fù)位信號(hào);

  clk16x (輸入) : 輸入時(shí)鐘;

  rdn (輸入) : 讀鎖存信號(hào);

  rxd (輸入) : 串行數(shù)據(jù)輸入信號(hào);

  dout [ 0: 7 ] (輸出) : 并行數(shù)據(jù)輸出總線;

  fram ing_ erro r (輸出) : 幀錯(cuò)誤信號(hào);

  parity_ erro r (輸出) : 校驗(yàn)錯(cuò)誤信號(hào);

  data_ ready (輸出) : 數(shù)據(jù)接收完畢信號(hào)。

  

  圖3 接收邏輯的流程

  運(yùn)用Modelsim 712 對(duì)接收模塊做了時(shí)序仿真,其結(jié)果如圖4 所示。接收時(shí)鐘與發(fā)送時(shí)鐘相同,接收到一幀串行數(shù)據(jù),由接收模塊轉(zhuǎn)換為并行輸出,并且檢驗(yàn)校驗(yàn)位和停止位,產(chǎn)生fram ing_ erro r 和parity_ erro r 信號(hào)輸出。

  

  圖4 接收模塊時(shí)序仿真波形圖

  5 接口控制模塊

  接口控制模塊連接控制發(fā)送、接收、波特率發(fā)生模塊,并與外部并行總線相連接,從外部(CPU 或單片機(jī)) 接收控制信號(hào)(nrst, nw rn, nbdn, nrdn) , 來控制UART 的發(fā)送、接收以及內(nèi)部時(shí)鐘的生成。在nw rn 有效并且內(nèi)部信號(hào)tbre= ′0′(發(fā)送緩沖寄存器空) 時(shí),將數(shù)據(jù)總線輸入的并行數(shù)據(jù)發(fā)送給發(fā)送模塊數(shù)據(jù)線din (7: 0) , 執(zhí)行發(fā)送數(shù)據(jù)功能。在nrdn 有效并且內(nèi)部信號(hào)data_ ready, parity_erro r, fram ing_ erro r 有效時(shí),允許從接收模塊讀入接收到的數(shù)據(jù)。波特率發(fā)生器和發(fā)送模塊的并行數(shù)據(jù)輸入端口共用一個(gè)數(shù)據(jù)總線。

  6 總體電路綜合及仿真

  UART 總體電路如圖5 所示,分別由上述4 個(gè)模塊組成。其時(shí)序仿真如圖6 所示。

  

  圖5 UART 總體電路圖

  

  圖6 UART 總體時(shí)序仿真波形圖

  觀察圖6, 可以看到串行輸出端口sdo 發(fā)送一幀數(shù)據(jù)為“00101011001”, 第一位為起始位,8 位數(shù)據(jù)位,校驗(yàn)位為“0”(偶校驗(yàn)) , 1 位停止位,空閑狀態(tài)位為高電平。并行輸出端口ndout 輸出為“00101010”, 輸入數(shù)據(jù)幀格式正確,校驗(yàn)位正確。

  7 結(jié) 語

  用FPGA 器件實(shí)現(xiàn)了UART 異步收發(fā)器的核心功能,可以實(shí)現(xiàn)對(duì)數(shù)據(jù)的接收和發(fā)送,并可以在接收數(shù)據(jù)時(shí)對(duì)其校驗(yàn)位、停止位進(jìn)行判斷,在發(fā)送數(shù)據(jù)時(shí)可以形成完整的一幀數(shù)據(jù)格式。其接收和發(fā)送數(shù)據(jù)的時(shí)鐘有內(nèi)部波特率發(fā)生器產(chǎn)生,根據(jù)預(yù)置的分頻系數(shù),對(duì)外部時(shí)鐘進(jìn)行分頻,產(chǎn)生需要的接收或發(fā)送時(shí)鐘。將該UART 電路作為一功能塊嵌入到一個(gè)FPGA 實(shí)現(xiàn)的數(shù)據(jù)采集與處理系統(tǒng)中,成功地實(shí)現(xiàn)了和遠(yuǎn)端的PC 機(jī)進(jìn)行異步串行通信。實(shí)驗(yàn)證明該UART 電路簡(jiǎn)單,工作穩(wěn)定、可靠,可運(yùn)用于低端的異步通信。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉