當(dāng)前位置:首頁(yè) > 醫(yī)療電子 > 醫(yī)療電子
[導(dǎo)讀]心電信號(hào)主要頻率范圍為0.05"100Hz,幅度約為0"4mV,信號(hào)十分微弱。由于心電信號(hào)中通?;祀s有其它生物信號(hào),加之體外以500Hz工頻為主的電磁場(chǎng)干擾,使得心電噪聲背景較強(qiáng),測(cè)量條件比較復(fù)雜,為醫(yī)學(xué)研究、救治和教學(xué)

心電信號(hào)主要頻率范圍為0.05"100Hz,幅度約為0"4mV,信號(hào)十分微弱。由于心電信號(hào)中通?;祀s有其它生物信號(hào),加之體外以500Hz工頻為主的電磁場(chǎng)干擾,使得心電噪聲背景較強(qiáng),測(cè)量條件比較復(fù)雜,為醫(yī)學(xué)研究、救治和教學(xué)帶來(lái)一定困難。本文通過(guò)對(duì)心電信號(hào)的特征分析,提出了基于MATLAB/SIMULINK心電信號(hào)仿真方法,采用快速原型技術(shù)通過(guò)輸入/輸出卡,將虛擬仿真信號(hào)轉(zhuǎn)化生成實(shí)際的物理電信號(hào),并與實(shí)際硬件電路連接起來(lái),構(gòu)成心電信號(hào)的半實(shí)物仿真模型。

  基本原理

  心電信號(hào)

  一個(gè)典型的心電信號(hào)如圖1示,它由P波、Q波、R波、S波和T波組成,在診斷過(guò)程中可以根據(jù)這些特征波的間隔幅值以及P-R間隔、S-T間隔和Q-T間隔判斷病人病情。

  


 

  Fourier級(jí)數(shù)

  若一個(gè)函數(shù)滿足狄里赫利條件,則可以將它展開(kāi)為傅立葉級(jí)數(shù)的形式。傅立葉級(jí)數(shù)的三角函數(shù)形式定義如下:

  

 

  狄里赫利條件充分條件:

  (1)函數(shù)在任意有限區(qū)間連續(xù),或只有有限個(gè)第一類間斷點(diǎn)(當(dāng)t從左或右趨向于這個(gè)間斷點(diǎn)時(shí),函數(shù)存在有限的左極限和右極限);

  (2)在一周期內(nèi),函數(shù)存在有限個(gè)極大值或極小值。

  心電信號(hào)仿真及結(jié)果分析

  心電信號(hào)仿真

  本設(shè)計(jì)方案是將心電信號(hào)看作一個(gè)由各個(gè)三角波信號(hào)和正弦信號(hào)的組合,先經(jīng)過(guò)計(jì)算得到各個(gè)特征波序列,再將各波合成為最終的仿真信號(hào)。具體實(shí)現(xiàn)方法如下:

  (1)心電信號(hào)的QRS波、Q波和S波用三角波實(shí)現(xiàn)。

  (2)心電信號(hào)的P波、T波和U波用正弦波實(shí)現(xiàn)。

  三角波數(shù)學(xué)模型建立

  三角波數(shù)學(xué)模型建立如下:

  為方便計(jì)算,將此函數(shù)展開(kāi)為傅立葉級(jí)數(shù)的形式:

  式中,

  

 

  正弦波數(shù)學(xué)模型建立

  正弦波建立模型如下:

  為方便計(jì)算,將此函數(shù)展開(kāi)為傅立葉級(jí)數(shù)的形式:

  

 

  仿真結(jié)果分析

  通過(guò)上述仿真方案,仿真心電信號(hào)各特征波參數(shù)如表1示,心電信號(hào)仿真波形如圖2示。

  

 

  

 

  實(shí)時(shí)心電信號(hào)源設(shè)計(jì)

  設(shè)計(jì)方案采用SIMULINK實(shí)現(xiàn)心電信號(hào)發(fā)生器的設(shè)計(jì)。SIMULINK可以方便地進(jìn)行可視化動(dòng)態(tài)建模,且仿真過(guò)程是交互的,可以隨時(shí)修改參數(shù),能夠立即看到仿真結(jié)果,生成可以實(shí)際應(yīng)用的實(shí)時(shí)信號(hào)。

  心電信號(hào)發(fā)生器實(shí)現(xiàn)

  設(shè)計(jì)方案中將心電信號(hào)看作是由各個(gè)特征波組合在一起的波形,這些特征波包括P波、Q波、QRS波、S波、T波和U波。仿真設(shè)計(jì)過(guò)程中,Q波、QRS波和S波用三角波實(shí)現(xiàn),P波、T波和U波用正弦波實(shí)現(xiàn)。

  心電信號(hào)發(fā)生器的設(shè)計(jì)采用七個(gè)模塊實(shí)現(xiàn),其中六個(gè)模塊的功能是實(shí)現(xiàn)特征波,一個(gè)模塊用來(lái)合成各個(gè)特征波,實(shí)現(xiàn)心電信號(hào)的仿真。設(shè)計(jì)結(jié)果如圖3。

  

 

  如圖3示,每個(gè)心電信號(hào)特征波產(chǎn)生模塊均有一個(gè)各自的特征波參數(shù)輸入,這些參數(shù)包括幅度、寬度和移位。另外,6個(gè)心電信號(hào)特征波形產(chǎn)生模塊有2個(gè)公共輸入,分別是產(chǎn)生心電信號(hào)的長(zhǎng)度x和心跳周期beat。顯而易見(jiàn),該心電信號(hào)發(fā)生器的SIMULINK模型可以通過(guò)改變心電信號(hào)參數(shù),方便地得到所需的心電信號(hào)。

  實(shí)時(shí)心電信號(hào)的產(chǎn)生

  設(shè)計(jì)方案中,采用SIMULINK設(shè)計(jì)的心電信號(hào)發(fā)生器產(chǎn)生數(shù)字仿真心電信號(hào),通過(guò)研華公司(Advantech)的模擬輸出數(shù)據(jù)采集卡PLC-812PG的D/A轉(zhuǎn)換器引出,生成實(shí)時(shí)心電信號(hào),具體原理框圖如圖4。

  

 

  MATLAB Embedded Function模塊只支持二維矩陣運(yùn)算,因此在實(shí)時(shí)心電信號(hào)輸出時(shí)要注意二維數(shù)據(jù)到一維數(shù)據(jù)的轉(zhuǎn)換,即采用一個(gè)二維矩陣到一維矩陣的轉(zhuǎn)換模塊。

  結(jié)論

  本方案解決了實(shí)際心電信號(hào)采集過(guò)程中硬件電路復(fù)雜、噪聲大以及個(gè)別心電波形不易采集等困難。為醫(yī)學(xué)研究和教學(xué)帶來(lái)方便,具有一定實(shí)用和參考價(jià)值。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉