當前位置:首頁 > 嵌入式 > 嵌入式軟件
[導(dǎo)讀]NI Single-Board RIO通用逆變器控制器特性

概述

使用NISingle-BoardRIO通用逆變器控制器(general-purposeinvertercontroller-GPIC)來幫助您更快地將設(shè)計中的電網(wǎng)功率轉(zhuǎn)換器發(fā)展至大規(guī)模的商業(yè)生產(chǎn)與產(chǎn)品部署。在行業(yè)認可的LabVIEW圖形化系統(tǒng)設(shè)計環(huán)境軟件的支持下,NI的Single-BoardRIOGPIC可以方便地完成逆變器應(yīng)用的設(shè)計、開發(fā)和部署。LabVIEW是直觀的圖形化編程環(huán)境,它使用拖放式的圖形對象操作,提供各種靈活,高級的應(yīng)用編程接口,可以幫助您快速地開發(fā)強大的具有專業(yè)用戶接口的應(yīng)用程序。

LabVIEW和NISingle-BoardRIOGPIC讓您可以方便地使用現(xiàn)場可編程邏輯門陣列(FPGA)技術(shù),這樣您就可以定義自己的控制電路,而且與傳統(tǒng)的用戶定義硬件方法相比可以減小系統(tǒng)的復(fù)雜度并節(jié)約開發(fā)成本。

主要特性介紹

"為先進的現(xiàn)場可重配置數(shù)字能源轉(zhuǎn)換系統(tǒng)的快速商業(yè)化提供了一個革命性的嵌入式系統(tǒng)設(shè)計方法

"傳統(tǒng)的嵌入式設(shè)計途徑平均需要一個11.5人的開發(fā)團隊花費12.5個月的開發(fā)時間,使用新的開發(fā)途徑可以以一個4.8人的開發(fā)團隊,在6.2個月的時間完成您的開發(fā)項目,由此平均可以節(jié)省114人/月的開發(fā)工作量和$950,000美元的開發(fā)成本。

"傳統(tǒng)的寄存器級別的Verilog/VHDL編程途徑需要花費百分之七十的開發(fā)成本在I/O接口的設(shè)計上,與之相反,高級的圖形化系統(tǒng)設(shè)計工具可以讓您的開發(fā)團隊將百分之九十的軟件開發(fā)成本集中到控制算法開發(fā)和驗證測試上。

"提供了經(jīng)過驗證的,可直接部署的嵌入式系統(tǒng)以及全面的圖形化系統(tǒng)設(shè)計工具鏈來幫助您快速地完成基于FPGA的高級電力電子控制系統(tǒng)的商業(yè)部署,而不需要您具有任何關(guān)于寄存器級別的編程語言(如Verilog和VHDL)的預(yù)備知識。

"針對絕大多數(shù)常見的智能電網(wǎng)電力電子應(yīng)用系統(tǒng)精心選取標準的I/O接口套件和可編程FPGA,可以滿足特定的控制、I/O接口、性能和成本的需求,包括用于可變交流輸電系統(tǒng),可再生能源發(fā)電,能量儲存和變速驅(qū)動器應(yīng)用的DC-AC,AD-DC,DC-DC和ACAC轉(zhuǎn)換器。

"具有硬件并行的賽靈思Spartan-6?FPGA芯片,含有58個DSP內(nèi)核,與傳統(tǒng)的雙核DSP相比,其每美元性能高出40倍,每芯片性能高出24倍,每瓦特性能高出10倍。

"嵌入了400MHz的PowerPC處理器,安裝有VxWorks實時操作系統(tǒng),支持智能電網(wǎng)網(wǎng)絡(luò)協(xié)議DNP3,IEC60870-5和IEC61850,板上COMTRADE(IEEE37.111)數(shù)據(jù)記錄和標準的三相IEC,EN和IEEE標準電能質(zhì)量分析。

軟件特性

圖形化的聯(lián)合仿真(Multisim,LabVIEWFPGA模塊)

現(xiàn)在,您可以在一個具有完整功能的電力電子聯(lián)合仿真環(huán)境中設(shè)計LabVIEWFPGA控制代碼。這表示您可以在NISingle-BoardRIOGPIC上快速地開發(fā)并驗證高級的高效電力電子應(yīng)用和控制IP并對其進行部署,而不需要掌握VHSIC硬件描述語言如VHDL或Verilog。

Multisim是一款集成了電路仿真(SPICE)環(huán)境的仿真程序,可以幫助完成電子電路的設(shè)計,原型化和測試。您可以快速并直觀地從大量預(yù)設(shè)的SPICE模型中選取電力電子元件(如電機、Buck-Boost電能轉(zhuǎn)換器,電磁干擾濾波器,PWM控制器和更多相關(guān)元件)來創(chuàng)建電力電子電路,預(yù)設(shè)模型來自各大半導(dǎo)體生產(chǎn)廠家,例如AnalogDevices,NXP,ONSemiconductor和TexasInstruments;選取它們并放置在編輯界面上,再正確連線即可。

圖1.Multisim軟件環(huán)境

Multisim可以與LabVIEW完全集成,這樣您就可以通過Multisim和LabVIEW之間的集成完成逐點模擬,然后將仿真結(jié)果與從連接到FPGA板卡上的實際硬件上采到到的實時數(shù)據(jù)進行對比,同步地測試您的控制算法。

圖2.LabVIEWMultisim聯(lián)合仿真

通過LabVIEW和Multisim聯(lián)合仿真,你可以在一個高保真的仿真環(huán)境中獲取數(shù)字控制系統(tǒng)與模擬電力電子電路之間的交互,幫助您完成LabVIEWFPGA代碼的開發(fā)。在LabVIEWFPGA和Multisim聯(lián)合仿真的過程中,兩個獨立的仿真程序?qū)⑼瑫r進行非線性的時域仿真,然后在每一個時間步長結(jié)束的時候交換數(shù)據(jù)并共同決定未來的仿真步長,這樣就可以帶給您高度集成的精確的仿真結(jié)果。您可以得到模擬電路的高速瞬態(tài)響應(yīng)行為和它與基于FPGA的控制系統(tǒng)之間的交互響應(yīng)。例如,如果一個電感器中的電流正在振蕩,仿真程序會自動地縮小步長,放慢仿真節(jié)奏來獲取數(shù)字控制電路的效果。

這樣,您就可以在仿真的環(huán)境中開發(fā)實際的LabVIEWFPGA代碼并將其直接移植到物理的FPGA目標上,不需要花費額外的操作。LabVIEW開發(fā)環(huán)境支持完全的雙向開發(fā)途徑。在任何開發(fā)階段對圖形化代碼所作的修改-從原型化到后期制作-系統(tǒng)都將自動地對應(yīng)用中所有引用的代碼進行更新。

算法開發(fā)工具

使用LabVIEW控制設(shè)計和仿真模塊來加快系統(tǒng)開發(fā)并測試您的控制算法。這個模塊提供了各種工具來幫助您使用傳遞函數(shù),狀態(tài)空間或零極點增益表達式來構(gòu)建被控對象和控制模型;使用例如階躍響應(yīng)、零極點圖、波特圖等工具來分析系統(tǒng)性能以及仿真系統(tǒng)行為。

圖3.LabVIEW控制設(shè)計和仿真模塊

使用LabVIEW工具鏈,您可以開發(fā)各種控制算法-從簡單的比例積分微分(PID)控制到高級的動態(tài)控制例如模型預(yù)測控制。您可以使用控制設(shè)計與仿真面板從基本原理開始來創(chuàng)建您的對象模型,或者從Multisim中導(dǎo)入模型。如果您想加速原型化進程,也可以將FPGA節(jié)點導(dǎo)入您的模型,這樣可以更精確地對硬件I/O進行表示。

下面的范例展示了一個直流有刷電機驅(qū)動器控制器,該控制器使用LabVIEW控制設(shè)計與仿真模塊設(shè)計,并且使用了LabVIEW和Multisim進行聯(lián)合仿真。[!--empirenews.page--]

圖4.直流有刷電機控制器的閉環(huán)控制系統(tǒng)仿真

1.速度正交解碼器

2.連續(xù)時間可編程斷路(PI)控制器

3.PWM波型發(fā)生器

4.H-橋驅(qū)動器

5.Multisim直流有刷電機對象

該控制器由一個正交解碼器、一個PI控制器、一個PWM波型發(fā)生器和一個H-橋驅(qū)動器(圖4中的第1項至第4項)構(gòu)成。對于這個設(shè)計,默認的板載40MHzFPGA時鐘確保了高分辨率,20KHz,小占空比和小死區(qū)時間的PWM數(shù)字脈沖的生成。仿真框圖中的FPGA節(jié)點配置為以離散時間執(zhí)行,就像該代碼是在硬件FPGA芯片上執(zhí)行一樣,以此來仿真代碼精確的時域行為。LabVIEWFPGA具有代碼可并行執(zhí)行和執(zhí)行延遲低的優(yōu)勢,非常適合進行逆變器控制算法開發(fā)。可以在控制器設(shè)計階段就對FPGA的行為進行仿真可以在較早的開發(fā)階段就確保系統(tǒng)具有精確的性能。

一代算法設(shè)計完成,借助針對快速控制原型設(shè)計與硬件在環(huán)(HIL)應(yīng)用的LabVIEW實時模塊,您可以不需要生成代碼就方便地將動態(tài)系統(tǒng)部署到實時硬件目標上。

開發(fā)函數(shù)庫和IP核

使用LabVIEW電力電子套件和電力電子IP核庫,借助內(nèi)置的針對各種應(yīng)用的VI(LabVIEW代碼),您可以減少開發(fā)時間和項目成本。使用該套件,您可以創(chuàng)建一個集電力電子參數(shù)測量,分析,監(jiān)視與記錄為一體的應(yīng)用程序。您可以使用電力電子VI來測量電壓,電流和頻率;測量功率和能量值;分析電壓和電流事件;綜合并記錄數(shù)據(jù)。

圖5.LabVIEW電力電子套件函數(shù)面板。

LabVIEW電力電子套件符合以下標準

"EN50160:2007,公共電力配送網(wǎng)絡(luò)的電力電壓特性

"IEC61000-4-7:2002,電磁兼容性(EMC),第4-7款:測試和測量技術(shù)-諧波和間諧波的測量和儀器儀表的通用指南,用于供電系統(tǒng)及與其相連的其它設(shè)備

"IEC61000-4-15:2010,電磁兼容性(EMC),第4-15款:測試和測量技術(shù)-閃變計-功能和設(shè)計規(guī)范

"IEC61000-4-30:2008,電磁兼容性(EMC),第4-30款:測試和測量技術(shù)-電能質(zhì)量測量方法

"IEEEStdC37.111:1999,IEEEStandardCommonFormatforTransientDataExchange(COMTRADE)forPowerSystems用于電力系統(tǒng)的通用IEEE標準瞬態(tài)數(shù)據(jù)交換格式(COMTRADE)

用于電力電子和運動控制(部分屬于LabVIEWNISoftMotion模塊)的IP核可以幫助您使用適用于各種功能的現(xiàn)成可用的IP核,包括用于三相永磁體同步和直流無刷電機/發(fā)電機以及逆變器的梯形和空間矢量換相器;Clarke和Park變換;三相鎖相環(huán);矩陣向量乘法和多通道的PID算法。

圖6.IP核庫

智能電網(wǎng)

借助LabVIEW兼容分布式網(wǎng)絡(luò)協(xié)議(DistributedNetworkProtocol-DNP3)和IEC60870-5開放式通訊協(xié)議的優(yōu)勢,您開發(fā)的功率轉(zhuǎn)換器可以直接用于智能電網(wǎng)。針對DNP3和IEC60870-5的NI-IndCom軟件驅(qū)動可以讓您使用LabVIEW函數(shù)來創(chuàng)建DNP3和IEC60870-5分支站點應(yīng)用程序。您可以在Windows電腦上使用這些函數(shù)來進行編程開發(fā),然后將其部署到NISingle-BoardRIOGPIC目標上來完成現(xiàn)場應(yīng)用。

圖7.DNP3和IEC60870-5LabVIEWVI

該軟件驅(qū)動支持以太網(wǎng)和串行通訊、文件傳輸、主站點和分支站點之間的時間同步。您也可以為每一個分支站點分配多個通訊通道以及為每個通道分配多個線程(邏輯設(shè)備)。

該軟件驅(qū)動支持以太網(wǎng)和串行通訊、文件傳輸、主站點和分支站點之間的時間同步。您也可以為每一個分支站點分配多個通訊通道以及為每個通道分配多個線程(邏輯設(shè)備)。

圖8.DNP3模擬輸入范例程序

1.創(chuàng)建分支站點函數(shù)將LabVIEW目標轉(zhuǎn)換為一個DNP3分支站點,并且設(shè)置檢查輸入和發(fā)送響應(yīng)的掃描頻率。

2.創(chuàng)建通道函數(shù)可以創(chuàng)建一個通訊通道,并且將DNP3協(xié)議的物理層,鏈路層和傳送功能封裝在這個通道中。同時它可以設(shè)置允許連接到該分支站點的主機的端口和IP地址。在一個分支站點上可以同時創(chuàng)建多個通訊通道。

3.創(chuàng)建線程函數(shù)可以在通訊通道中創(chuàng)建一個本地設(shè)備(服務(wù)器)與遠程設(shè)備(客戶端)之間的連接。在同一個通訊通道中可以創(chuàng)建多個線程。

4.寫入函數(shù)可以將八種數(shù)據(jù)類型中的一種(包括模擬輸入)寫入到某個數(shù)據(jù)點索引中。它還可以同時生成一個相應(yīng)的事件和對象標志。

5.清除線程函數(shù)可以清除創(chuàng)建線程函數(shù)所創(chuàng)建的線程。

6.清除通道函數(shù)可以清除創(chuàng)建通道函數(shù)所創(chuàng)建的通訊通道。

7.清除分支站點函數(shù)可以清除創(chuàng)建分支站點函數(shù)所創(chuàng)建的分支站點引用。

用于DNP3的NI-IndCom可以讓主機站點從使用LabVIEW編程的分支站點上上傳和下載文件。針對分支站點的時間同步,可以使用時鐘時間屬性節(jié)點來設(shè)置時鐘同步的頻率或者手動請求一次重同步。

NISingle-BoardRIOGPICI/O

使用NI9683商業(yè)現(xiàn)成可用,經(jīng)過驗證的GPIC開發(fā)板,您可以加快您電力電子控制應(yīng)用的上市時間。NISingle-BoardRIOGPIC提供了完整的硬件I/O集合,可以適用于各種應(yīng)用,包括從逆變器控制和與智能功率模塊交互到智能電網(wǎng)監(jiān)測。NI9683的硬件I/O包括以下類型:

"高速可同時采集的模擬輸入通道可以采集一次側(cè)和二次側(cè)的電壓/電流[!--empirenews.page--]

"低速的模擬輸入和輸出通道可以進行系統(tǒng)級的控制和監(jiān)測

"高速數(shù)字輸出通道可以完成絕緣柵雙極晶體管和金屬氧化物-半導(dǎo)體場效應(yīng)晶體管的開關(guān)

"通用數(shù)字輸入和輸出通道可以進行系統(tǒng)級的控制和監(jiān)測

"接觸器數(shù)字輸出可以直接連接到24V直流接觸器

圖9.NISingle-BoardRIOGPICI/O

所有的輸入與輸出接口都通過RIO夾層卡(RMC)接口連接到NIsbRIO-9606控制板上。高速,高帶寬的RMC接口提供了對FPGA數(shù)字I/O線的直接訪問,同時還提供了幾項處理器特定的功能。FPGAI/O通過一系列終端電阻連接到RMC接口上,并且FPGA的驅(qū)動能力和板載信號終端阻抗已經(jīng)經(jīng)過合理的調(diào)整來支持各種應(yīng)用。

圖10.NISingle-BoardRIOGPICI/O與FPGA之間的連接

所有的輸入與輸出接口都通過RIO夾層卡(RMC)接口連接到NIsbRIO-9606控制板上。高速,高帶寬的RMC接口提供了對FPGA數(shù)字I/O線的直接訪問,同時還提供了幾項處理器特定的功能。FPGAI/O通過一系列終端電阻連接到RMC接口上,并且FPGA的驅(qū)動能力和板載信號終端阻抗已經(jīng)經(jīng)過合理的調(diào)整來支持各種應(yīng)用。

圖11.范例計數(shù)器

圖11展示了一個對DIO0上的上升沿之間的周期進行計數(shù)的簡單VI。注意,該單周期定時循環(huán)將使用一個80MHz的分頻時鐘來定時,并且已經(jīng)將DIO0定義為該時鐘頻率。單周期定時循環(huán)是LabVIEWFPGA環(huán)境中眾多圖形化對象之一,這些圖形化對象可以簡化FPGA上復(fù)雜的數(shù)字邏輯的實現(xiàn)。

NISingle-BoardRIO特性

NIsbRIO-9606嵌入式控制和采集設(shè)備在一個印刷電路板(PCB)上集成了一個實時處理器,一個用戶可重配置的FPGA和各種I/O接口。它具有運行VxWorks實時操作系統(tǒng)的400MHz的PowerPC處理器,一個XilinxSpartan-6LX45FPGA和一個RMC接口。高速、高帶寬的RMC接口提供了對96個3.3VFPGA數(shù)字線的直接訪問,同時還提供了某些處理器特定的功能??梢詫PGAI/O和處理器功能進行直接的調(diào)用就意味著您可以在自己的電力電子應(yīng)用中實現(xiàn)底層時序的自定義以及I/O信號的處理。

圖12.NIsbRIO-9606設(shè)備

您可以在LabVIEWFPGA環(huán)境中對所有的FPGAI/O進行直接的訪問。LabVIEW包含了內(nèi)置的數(shù)據(jù)傳輸機制來幫助您將數(shù)據(jù)從硬件I/O傳送到FPGA,再通過高速的PCI總線從FPGA傳送到嵌入式處理器中以對數(shù)據(jù)進行實時的分析,后期處理,數(shù)據(jù)記錄以及與聯(lián)網(wǎng)的主機電腦進行通訊。

您可以使用內(nèi)置的10/100Mbit/s以太網(wǎng)端口通過網(wǎng)絡(luò)來向主機自帶的Web(HTTP)和文件(FTP)協(xié)議服務(wù)器發(fā)起可編程的通訊。sbRIO-9606同時還提供了集成的控制器局域網(wǎng)(CAN),RS232串口和USB端口來幫助您控制其它外圍設(shè)備。

您可以使用內(nèi)置的10/100Mbit/s以太網(wǎng)端口通過網(wǎng)絡(luò)來向主機自帶的Web(HTTP)和文件(FTP)協(xié)議服務(wù)器發(fā)起可編程的通訊。sbRIO-9606同時還提供了集成的控制器局域網(wǎng)(CAN),RS232串口和USB端口來幫助您控制其它外圍設(shè)備。

FPGA的優(yōu)勢

利用可自定義的Spartan-6FPGA芯片的各種優(yōu)勢,您可以以更少的開發(fā)成本來更快地開發(fā)電力電子控制應(yīng)用。使用LabVIEW開發(fā)工具鏈,結(jié)合Spartan-6FPGA和一套完整的用于電力電子的硬件I/O,相比傳統(tǒng)的數(shù)字信號處理器(DSP)來說在很多方面都提供了更好的性能。

1.可重置性

從最頂層來看,F(xiàn)PGA是可編程的硅芯片板,包含了可重配置的邏輯門電路矩陣。與DSP不同,F(xiàn)PGA不用受到特定的一組指令集或是硬件處理單元的限制。使用預(yù)置的邏輯塊和可編程的路由資源,您可以針對您自己特定的電力電子控制應(yīng)用對這些板卡進行配置。過去,在FPGA上實現(xiàn)一個DSP應(yīng)用(例如PWM逆變器控制算法)通常會比在DSP處理器上實現(xiàn)相同的應(yīng)用花費更多的精力。這需要開發(fā)者對硬件描述語言和FPGA板卡編程相當熟悉。同時,還需要設(shè)計一套自定義的I/O接口板來與FPGA進行交互。

NI的Single-BoardRIOGPIC提供了商業(yè)現(xiàn)成可用的開發(fā)板,您可以使用LabVIEWFPGA圖形化開發(fā)環(huán)境對其編程,訪問所有您的電力電子應(yīng)用需要用到的I/O,從而解決了上面提到的各種問題。在LabVIEWFPGA圖形化開發(fā)環(huán)境下,即使您不具備底層硬件描述語言(例如VHDL或者Verilog)或板卡級的硬件設(shè)計相關(guān)的知識,也可以準確地定義FPGA板卡需要實現(xiàn)的邏輯。

圖13.使用LabVIEWFPGA來設(shè)計FPGA板卡

使用LabVIEWFPGA,您可以利用高級的圖形化環(huán)境來抽象復(fù)雜的HDL編程并生成FPGA的實現(xiàn)代碼。

圖14.LabVIEW模擬硬件I/O實現(xiàn):對比FPGA與VHDL

使用LabVIEWFPGA,您可以將電力電子IP庫中現(xiàn)成的VHDL代碼,第三方的IP和IP核集成到您自己的LabVIEWFPGA應(yīng)用程序中,這樣您就可以將更多的開發(fā)精力放在應(yīng)用指標的設(shè)計和代碼片段的組織上,而通常的任務(wù)如PWM、PID控制和Clarke及Park變換則可以用預(yù)置的函數(shù)來完成。[!--empirenews.page--]

由于智能電網(wǎng)相關(guān)的應(yīng)用涉及長期的技術(shù)支持、維護以及適應(yīng)不斷發(fā)展的標準和通訊協(xié)議的需求,所以FPGA可以導(dǎo)入代碼并支持重配置的特性有很大的優(yōu)勢。FPGA可重配置的特點也表示您可以使用它實現(xiàn)更高的性能,減少開發(fā)時間并實現(xiàn)代碼的重用。

2.性能

由于FPGA具有高度并行的架構(gòu),所以它們超過了DSP的運算能力。實際上,現(xiàn)代的FPGA都具有專用的DSP元,非常適合傳統(tǒng)的DSP應(yīng)用。Spartan-6LX45FPGA具有58個專用的、可完全自定義的低功耗DSP元,結(jié)合了高速、小體積的特點,同時又保證了系統(tǒng)設(shè)計的靈活性。

圖15.Spartan-6FPGA與通用DSP的對比

當您為FPGA設(shè)備編譯電力電子控制應(yīng)用程序(自定義,高頻數(shù)字PWM波形)時,得到的將是高度優(yōu)化的硅芯片實現(xiàn),它提供了真正并行的處理機制,其專用的硬件電路具有性能和可靠性兩方面的優(yōu)勢。由于FPGA芯片上沒有運行操作系統(tǒng),代碼的實現(xiàn)方法保證了最佳的性能和最高的可靠性。

除了提供高的運行可靠性,F(xiàn)PGA設(shè)備還可以以極快的循環(huán)頻率實現(xiàn)高確定性的閉環(huán)控制。對于絕大多數(shù)基于FPGA的控制應(yīng)用來說,處理速度的瓶頸都在于傳感器,執(zhí)行器和I/O模塊,而不在于FPGA的性能。例如,包括在LabVIEWFPGA模塊中的PID控制算法只需要300ns(0.000000300s)就可以完成一次運算。

基于FPGA的控制系統(tǒng)提供了超過1MHz的高確定性,閉環(huán)控制性能。實際上,許多算法只需要一個FPGA的時鐘周期(40MHz)就可以完成執(zhí)行。

3.節(jié)省開發(fā)時間和成本

與單核的DSP處理器相比,F(xiàn)PGA數(shù)據(jù)處理高并行度的特點大大提高了系統(tǒng)的平均美元性能。同時,相比DSP處理器而言,F(xiàn)PGA還提供了更高的平均瓦特性能。通常來說,F(xiàn)PGA具有更高的板卡級的功率消耗(DSP的典型功耗為3W至4W,而DSP的功耗一般為7W至10W);但是,由于FPGA可以處理的通道數(shù)據(jù)密度是DSP的40倍,這就意味著FPGA有著更高的平均瓦特性能。

圖16.近年來,將微型DSP硬件核集成到FPGA元中的技術(shù)極大地提高了FPGA的性能,圖為與單核的DSP相比,每秒可以完成乘法累加運算次數(shù)的柱狀圖

就平均發(fā)展速率來說,F(xiàn)PGA的平均美元性能每14個月翻一番。使用商業(yè)現(xiàn)成可用的NISingle-BoardRIOGPIC控制器開發(fā)板,您可以充分利用FPGA性能和可靠性的優(yōu)勢,比全自定義的硬件設(shè)計付出更少的工作量,并且可以避免重復(fù)的開發(fā)工作。

使用NISingle-BoardRIOGPIC,您可以充分利用LabVIEW開發(fā)工具鏈和Spartan-6FPGA的靈活性以及在快速原型開發(fā)方面的優(yōu)勢,從而大大減少您電力電子控制應(yīng)用程序的開發(fā)時間。當您在LabVIEWFPGA和Multisim聯(lián)合仿真環(huán)境下完成了對控制器的測試之后,就可以將相同的代碼部署到FPGA上并用實際的物理I/O上對其進行測試。接下來您就可以實現(xiàn)一些改進方案并且充分利用FPGA可重配置的特點來逐步完善您的設(shè)計并給出最終設(shè)計方案。

Spartan-6產(chǎn)品系統(tǒng)概覽

實時仿真工具

使用NISingle-BoardRIOGPIC,您可以實現(xiàn)HIL仿真來減少現(xiàn)場測試以及原型開發(fā)的成本。您可以將系統(tǒng)的模型(狀態(tài)空間模型或者JMAG有限元分析(FEA)軟件模型)部署到一系列商業(yè)現(xiàn)成可用的實時目標上,例如NICompactRIO和NIPXI。這些硬件目標都帶有一個運行實時操作系統(tǒng)的嵌入式的處理器來以及一個用戶可自定義的FPGA,這樣您的代碼執(zhí)行就會擁有硬實時特性。使用低抖動的幾百納秒的仿真周期,您可以精確地仿真物理系統(tǒng)的I/O行為并且驗證控制器的運行效果。

使用HIL測試,您可以并行地開發(fā)系統(tǒng)中的軟件與硬件組件,從而減少整體的開發(fā)時間。例如,針對電動馬達系統(tǒng)的開發(fā),您可以同時開發(fā)電動馬達硬件以及它的引擎控制單元,這樣當您的馬達設(shè)計完成的時候,嵌入式軟件中主要的問題也已經(jīng)得到了解決。

圖17.使用NIcRIO-9082作為HIL仿真器

常見的電動馬達的控制信號工作于20kHz到25kHz的頻率范圍。為了精確地仿真電動馬達,其模型的時間步長必須至少小于控制信號周期的十分之一。這就意味著電動馬達模型仿真器的運行周期頻率至少要達到200kHz,這個頻率已經(jīng)大大高于了傳統(tǒng)HIL系統(tǒng)的運行頻率。圖18展示了高仿真周期頻率的的重要性。

圖18.不同周期頻率下的電動馬達HIL仿真結(jié)果

FPGA的特點使它極其適用于高速、實時的應(yīng)用。所有基于FPGA的處理都可以獨立地與系統(tǒng)CPU同時執(zhí)行。此特點可以幫助您實現(xiàn)極低的延時以及高速的信號處理,從而精確地仿真物理I/O行為。

使用FPGA技術(shù),您可以調(diào)整并提高控制器的設(shè)計效率,這樣就可以導(dǎo)入待測單元(UUT)的非線性FEA模型來進行高逼真度的HIL仿真。該功能由NIVeriStand軟件的JMAG-RT插件提供。

圖19.電動馬達HIL仿真

使用JMAG仿真軟件和JMAG-RT插件,您可以生成一個.RTT文件來創(chuàng)建實時運行的FPGA特性。JMAG-RT插件會生成一個查找表,這個查找表包含了您的待測單元(在這里,就是一個馬達)的相關(guān)參數(shù)。這些參數(shù)可以用來對您的設(shè)備進行全面的檢定并準確地捕捉其非線性行為。[!--empirenews.page--]

圖20.實現(xiàn)在FPGA上的FEA模型

一旦查找表生成以后,模型轉(zhuǎn)換函數(shù)會讀取.RTT文件和查找表,并將數(shù)據(jù)發(fā)送到NIRIOFPGA模塊上,在這里,數(shù)據(jù)將會被轉(zhuǎn)換為定點數(shù)據(jù)類型并且裝載到板載的DRAM中。一旦查找表裝載入DRAM,系統(tǒng)就會根據(jù)當前的模型狀態(tài)不斷地從查找表中提取對應(yīng)的數(shù)據(jù)來生成與該待測單元相關(guān)的非線性行為。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉