當(dāng)前位置:首頁 > 廠商動(dòng)態(tài) > 開放原子
[導(dǎo)讀]國內(nèi)做MCU芯片的企業(yè)和他們的用戶,投資MCU的大小投資人都應(yīng)該思考一個(gè)問題:面對(duì)今天MCU市場(chǎng)上超卷紅海,是要仍然走替代之路繼續(xù)為Arm打工?還是利用嵌入式計(jì)算也就是基于場(chǎng)景的計(jì)算去開發(fā)一種自有的、創(chuàng)新的架構(gòu)?或者轉(zhuǎn)向差異化、定制化和服務(wù)型制造等新模式?

國內(nèi)做MCU芯片的企業(yè)和他們的用戶,投資MCU的大小投資人都應(yīng)該思考一個(gè)問題:面對(duì)今天MCU市場(chǎng)上超卷紅海,是要仍然走替代之路繼續(xù)為Arm打工?還是利用嵌入式計(jì)算也就是基于場(chǎng)景的計(jì)算去開發(fā)一種自有的、創(chuàng)新的架構(gòu)?或者轉(zhuǎn)向差異化、定制化和服務(wù)型制造等新模式?

又到季度末上市公司要發(fā)布季報(bào)的時(shí)候了,雖然我們也看到了在香港上市的中電華大科技(00085.HK,全資持有國內(nèi)第一家自主芯片設(shè)計(jì)公司北京華大電子)發(fā)布了中期利潤增長了2倍多有望達(dá)到6.5億港元這樣的靚仔,但預(yù)計(jì)在MCU等多個(gè)中國芯企業(yè)主要靠走進(jìn)口替代道路的領(lǐng)域內(nèi),近期的主要話題還是“去庫存”、“利潤下降幅度變小”…… 那么,MCU等領(lǐng)域的中國芯企業(yè)在從前兩年賺得盆滿缽滿之處跌落之后,未來的突圍之路在何方呢?

CEC旗下的中電華大科技(00085.HK)于6月16日發(fā)布盈利喜報(bào),拉開了半年報(bào)大幕,很快大家就知道誰是這條街上最靚的仔了

小展覽看大世界

十天前,全球久負(fù)盛名的德國紐倫堡“嵌入式世界(Embedded World)”中國首展在上海舉辦,根據(jù)北京華興萬邦管理咨詢有限公司(以下簡稱“華興萬邦”)團(tuán)隊(duì)的現(xiàn)場(chǎng)觀感以及行業(yè)專業(yè)人士的分析,這是一次展商質(zhì)量非常高的專業(yè)展覽,匯聚了嵌入式計(jì)算領(lǐng)域內(nèi)以處理器芯片或者系統(tǒng)級(jí)芯片(SoC)為核心節(jié)點(diǎn)的全球產(chǎn)業(yè)鏈上的主要玩家,以及不少國內(nèi)芯片、模組和板卡企業(yè)。該次展覽成為了我們觀察MCU等芯片行業(yè)的一個(gè)重要窗口。

國內(nèi)外芯片企業(yè)積極參與,使在上海舉辦的EWC 2023成為我們觀察MCU等芯片領(lǐng)域的一個(gè)重要窗口

華興萬邦高度重視嵌入式計(jì)算技術(shù)進(jìn)步和市場(chǎng)演進(jìn),因此歷年來曾多次派團(tuán)隊(duì)成員前往德國參加Embedded World展覽。2019年,華興萬邦的研究團(tuán)隊(duì)在疫情前前往德國參觀了Embedded World 2019,當(dāng)時(shí)就提出了汽車(Automotive)、邊緣計(jì)算(Edge)、工業(yè)(Industrial)、醫(yī)療(Medical)和機(jī)器人(Robotics)五大行業(yè)的智能化將是智能芯片的全新市場(chǎng),并為中國芯企業(yè)提供難得的并肩出發(fā)機(jī)會(huì)。大家可以點(diǎn)擊以下文章,回顧華興萬邦四年前的分析與今天行業(yè)發(fā)展現(xiàn)狀:

在AEIMR五大行業(yè)中尋找國產(chǎn)智能芯片“同時(shí)起跑”的新機(jī)遇(上)

在AEIMR五大行業(yè)中尋找國產(chǎn)智能芯片“同時(shí)起跑”的新機(jī)遇(下)

到今天這些行業(yè)建議正在變成現(xiàn)實(shí)和高價(jià)值市場(chǎng),例如我們文章一開頭就提到了的業(yè)績暴增的中電華大科技近年來在國內(nèi)率先推出了車規(guī)級(jí)的安全芯片并廣泛上車,而且還針對(duì)物聯(lián)網(wǎng)市場(chǎng)推出了將MCU與安全芯片集成在一起的安全MCU,這些都為其業(yè)績?cè)鲩L提供了支撐。而曾經(jīng)讓國內(nèi)芯片企業(yè)賺得盆滿缽滿的消費(fèi)電子市場(chǎng)卻成了一片血色紅海,這是因?yàn)榇蠹以诋a(chǎn)品定義上走“進(jìn)口替代”道路,以pin-2-pin替代ST或者其他國際廠商的某些型號(hào)的MCU,最后產(chǎn)品雷同只有靠價(jià)格肉搏來競(jìng)爭,卷到大家都沒有利潤。

今年一季度季報(bào)發(fā)布后,國內(nèi)MCU領(lǐng)域內(nèi)慘烈的競(jìng)爭(內(nèi)卷)呈現(xiàn)在了公眾面前(圖片來源:東方財(cái)富網(wǎng))

與前幾年相比,國內(nèi)嵌入式計(jì)算/MCU/邊緣計(jì)算的產(chǎn)業(yè)環(huán)境變得更好。不僅應(yīng)用市場(chǎng)變得越來越豐富,而且諸如芯科科技(Silicon Labs)這樣的公司也證明了不是通過簡單的替代,而是專注于物聯(lián)網(wǎng)等應(yīng)用領(lǐng)域也可以持續(xù)保持快速發(fā)展。此外,諸如IAR這樣全球領(lǐng)先的開發(fā)工具廠商向中國客戶提供了全面的直接服務(wù),以及Achronix等廠商的嵌入式FPGA(eFPGA) IP和Codasip等廠商的RISC-V IP和定制處理器設(shè)計(jì)工具等產(chǎn)業(yè)鏈上下游協(xié)同,都為國內(nèi)嵌入式計(jì)算/MCU/邊緣計(jì)算產(chǎn)品升級(jí)提供了全新的支撐。

從盆滿缽滿處跌落之后,基于場(chǎng)景的嵌入式計(jì)算是國產(chǎn)MCU等芯片的突圍方向嗎?

eFPGA IP也在越來越廣泛地走入嵌入式計(jì)算/邊緣計(jì)算,而且可以提供不同的資源配置和工業(yè)適應(yīng)性(圖片來源:Achronix)

那么,國內(nèi)自主嵌入式計(jì)算產(chǎn)業(yè)鏈,尤其是國產(chǎn)MCU的新突破點(diǎn)在什么地方呢?本文中談到的相關(guān)上市公司及其產(chǎn)品和數(shù)據(jù)均不構(gòu)成投資建議,敬請(qǐng)讀者注意。

嵌入式計(jì)算就是面向場(chǎng)景的計(jì)算

華興萬邦認(rèn)為,與基于x86的個(gè)人電腦、基于x86和越來越多加速器的服務(wù)器和云計(jì)算、基于Arm低功耗處理器的移動(dòng)計(jì)算不同的是,嵌入式計(jì)算是一種基于場(chǎng)景的計(jì)算,其特點(diǎn)是針對(duì)場(chǎng)景需求以及同樣重要的資源制約條件,卓有成效地去將計(jì)算/控制、網(wǎng)絡(luò)和其他功能集成在一起。這也是成功的MCU廠家要拼型號(hào),即同一種內(nèi)核去提供很多型號(hào)的產(chǎn)品的原因。

雖然多數(shù)嵌入式應(yīng)用都是資源極為有限的應(yīng)用,但是嵌入式計(jì)算正在變得越來越強(qiáng)大,其正在集成諸如硬件加速器、硬件安全性等其他計(jì)算模式所具有的特性,同時(shí)還具有諸如汽車規(guī)范、工業(yè)規(guī)范和功能安全等其他計(jì)算模式不一定具備的能力。此外,從單一處理內(nèi)核架構(gòu)向異構(gòu)計(jì)算等新興模式遷移正在發(fā)生,例如在芯片中集成諸如嵌入式FPGA(eFPGA)邏輯陣列等新的可編程硬件。

Silicon Labs的論文入選EWC 2023,介紹了其Wi-SUN低功耗長距離(LPWAN)物聯(lián)網(wǎng)連接和處理SoC解決方案

中國作為全球制造業(yè)門類最為齊全的第一大制造業(yè)國家、汽車產(chǎn)銷量連續(xù)十多年保持領(lǐng)先的第一大汽車市場(chǎng)、基礎(chǔ)設(shè)施建設(shè)投資遙遙領(lǐng)先的“基建狂魔”,中國的嵌入式計(jì)算場(chǎng)景數(shù)量和系統(tǒng)設(shè)備使用量都領(lǐng)先全球。因此,TI、SiliconLabs、Arm和瑞薩等國際巨頭以不同方式前來捧場(chǎng)和參與首屆Embedded World China,而且許多國產(chǎn)MCU和MPU開發(fā)設(shè)計(jì)公司也全情參展,以至于“股票代碼”幾個(gè)字成為了展場(chǎng)內(nèi)最常見的高頻詞之一。

走自主架構(gòu)創(chuàng)新之路

從此次規(guī)模并不大的展覽的展商來看,中國的嵌入式計(jì)算市場(chǎng)已經(jīng)得到了全球半導(dǎo)體行業(yè)的高度關(guān)注,這意味著對(duì)于目前正在忙于去庫存的中國MCU企業(yè),尤其是那些前幾年從股票上市(IPO)和疫情期間大缺貨中賺得盆滿缽滿而手握巨額現(xiàn)金的國產(chǎn)MCU企業(yè),又有了再次突圍的機(jī)會(huì)。既然在跟隨行業(yè)巨頭的同時(shí)可以走新的路徑,那么新的路徑可以從架構(gòu)上就走自主創(chuàng)新之道嗎?

中國的新型工業(yè)化道路將為嵌入式計(jì)算/MCU帶來巨大的機(jī)會(huì),新能源汽車正在成為推手之一(資料來源:中國汽車工業(yè)協(xié)會(huì))

不可否認(rèn),選擇Arm架構(gòu)并照著ST和NXP等公司的熱門產(chǎn)品去打造替代產(chǎn)品,是快速切入MCU市場(chǎng)、分享國際同行生態(tài)的一種成功之道。并且通過選用IAR Embedded Workbench for Arm這樣的全球領(lǐng)先的商用開發(fā)工具,一些國產(chǎn)MCU廠商已經(jīng)開發(fā)了可以進(jìn)軍汽車、工業(yè)和醫(yī)療這些中高端市場(chǎng)的MCU產(chǎn)品。但是一窩蜂的進(jìn)口替代的最終結(jié)局就是國內(nèi)廠商之間的相互替代,最后造成了去年以來國內(nèi)MCU廠商利潤大降、庫存高筑、股價(jià)大幅度下跌的局面。

而獨(dú)立自主創(chuàng)造一種架構(gòu),那就意味著需要建立、推動(dòng)和推廣全新的生態(tài),這不僅需要?jiǎng)?chuàng)新的技術(shù),而且還需要在一個(gè)較長時(shí)間內(nèi)持續(xù)投入人力和物力去運(yùn)營創(chuàng)新生態(tài)。金錢投入對(duì)于每年都可以獲得大量理財(cái)收入和政府資助的上市公司并無多大困難(大家可以從MCU領(lǐng)域上市公司的非經(jīng)常性收入中看到各家企業(yè)相關(guān)數(shù)據(jù)),生態(tài)運(yùn)營也可以得到華興萬邦這樣專業(yè)機(jī)構(gòu)的支持,因此從本質(zhì)上是可行的。

從盆滿缽滿處跌落之后,基于場(chǎng)景的嵌入式計(jì)算是國產(chǎn)MCU等芯片的突圍方向嗎?

XMOS創(chuàng)新的xcore.ai架構(gòu)可以在一顆芯片內(nèi)為AIoT和邊緣計(jì)算應(yīng)用帶來高性能、高靈活性和可編程性

在全球范圍內(nèi)基于創(chuàng)新技術(shù)來建立獨(dú)立生態(tài)也有不少成功的案例。以XMOS公司為例,該公司的創(chuàng)始人在早年看到了在FPGA和其他中高端芯片中的處理核心的底層技術(shù)很多都是數(shù)字信號(hào)處理器(DSP),就創(chuàng)新地開發(fā)了一種可以很方便重新配置的、內(nèi)外部連接優(yōu)化的、非常高效的DSP陣列芯片,并隨著市場(chǎng)需求的演變而演進(jìn)開發(fā)了全新的xcore.ai架構(gòu)。

XMOS的xcore.ai架構(gòu)和高性能芯片,是一種可幫助開發(fā)人員實(shí)現(xiàn)創(chuàng)新邊緣計(jì)算、嵌入式計(jì)算和智能物聯(lián)(AIoT)產(chǎn)品的單芯片解決方案,可以實(shí)現(xiàn)全面可重構(gòu)、高確定性和低延遲,并集成了邊緣人工智能(edge-AI)處理、DSP、控制單元和輸入輸出端口。該產(chǎn)品已得到了全球數(shù)百家客戶的采用,發(fā)貨量達(dá)數(shù)千萬片,被廣泛用于USB音頻、智能家居、電話會(huì)議、智能停車、工業(yè)物聯(lián)網(wǎng)和新消費(fèi)終端等應(yīng)用。

XMOS發(fā)展出了一種獨(dú)立的架構(gòu),并且在市場(chǎng)上也得到了認(rèn)同,越來越多包括中國廠商在內(nèi)的開發(fā)者都在采用這種獨(dú)特的架構(gòu),去打造差異化的高性能低功耗嵌入式計(jì)算、AIoT和邊緣計(jì)算系統(tǒng)。XMOS的經(jīng)驗(yàn)驗(yàn)證了自主架構(gòu)的可行性,該公司將在6月27日舉辦xcore.ai架構(gòu)應(yīng)用在線研討會(huì),這是了解該架構(gòu)及其應(yīng)用和未來發(fā)展路線圖的一個(gè)很好的機(jī)會(huì),對(duì)如何實(shí)現(xiàn)芯片和系統(tǒng)架構(gòu)性創(chuàng)新一定大有啟發(fā)。

RISC-V與定制處理器的機(jī)遇

RISC-V在近年的興起,也為國內(nèi)MCU、嵌入式計(jì)算和邊緣計(jì)算芯片企業(yè)提供了新的機(jī)會(huì),而且已有一批國內(nèi)MCU芯片設(shè)計(jì)公司通過與市場(chǎng)應(yīng)用驗(yàn)證過的IP廠商,以及IAR這類在業(yè)內(nèi)久負(fù)盛名的工具廠商合作,在短短的幾年內(nèi)抓住自主創(chuàng)新等機(jī)會(huì)走出來自己的發(fā)展之道。同時(shí),RISC-V的興起,也為有定制處理器需求的用戶或者芯片設(shè)計(jì)公司提供了全新的發(fā)展契機(jī)。

在EWC 2023期間,總部位于上海的高性能MCU廠商先楫半導(dǎo)體(HPMicro)與嵌入式開發(fā)軟件和服務(wù)的全球領(lǐng)導(dǎo)者IAR公司共同宣布達(dá)成戰(zhàn)略合作:IAR最新的 Embedded Workbench for RISC-V版本將全面支持先楫HPM6000高性能RISC-V MCU系列,這是IAR 首次支持高性能通用RISC-V MCU產(chǎn)品系列。IAR為先楫半導(dǎo)體的創(chuàng)新產(chǎn)品提供全面的開發(fā)工具支持,包括代碼編輯、編譯、調(diào)試等功能,幫助開發(fā)人員充分發(fā)揮先楫高性能RISC-V MCU的潛力。

圖片來源:IAR

從EWC 2023展會(huì)現(xiàn)場(chǎng)來看,先楫半導(dǎo)體目前已量產(chǎn)的高性能通用MCU產(chǎn)品系列包含HPM6700/6400、HPM6300及HPM6200,該公司表示其性能已領(lǐng)先國際同類產(chǎn)品,并通過了AEC-Q100車規(guī)認(rèn)證和ISO 26262功能安全認(rèn)證。先楫MCU產(chǎn)品被廣泛應(yīng)用于工業(yè)、汽車和能源市場(chǎng),涉及伺服電機(jī)控制、工業(yè)機(jī)器人、數(shù)字電源(PFC/LLC/CLLC)、儲(chǔ)能BMS、逆變器、新能源汽車EVCC、車載OBD診斷系統(tǒng)、數(shù)字音頻等多個(gè)應(yīng)用領(lǐng)域。

RISC-V的另一個(gè)優(yōu)勢(shì)是其更便于實(shí)現(xiàn)定制處理器(custom processor)或者定制計(jì)算(custom compute),而正如我們前面分析到的,我國嵌入式計(jì)算和邊緣計(jì)算的場(chǎng)景數(shù)量和應(yīng)用數(shù)量名列全球前茅,因此產(chǎn)生的對(duì)定制處理器的需求十分巨大。同時(shí),諸如Codasip這樣的廠商可提供RISC-V處理器IP、處理器優(yōu)化工具和定制處理器設(shè)計(jì)工具,則大大降低了定制處理器的門檻。

基于RISC-V的定制處理器正在吸引越來越多的系統(tǒng)和芯片企業(yè)

自主創(chuàng)新國策使很多定制處理器變成了“剛需”,例如隨著國產(chǎn)大飛機(jī)C919進(jìn)入批量制造,其中的航電系統(tǒng)就需要大量的定制處理器,采用通用處理器和FPGA芯片來做相關(guān)計(jì)算和功能控制,芯片中大量冗余部分不僅多出來了成本,而且還有延遲、體積、重量和功耗等挑戰(zhàn),此外,更重要的還帶來了相應(yīng)的風(fēng)險(xiǎn)。另一個(gè)例子就是國內(nèi)存儲(chǔ)器件產(chǎn)業(yè)的快速發(fā)展,根據(jù)自身顆粒和存儲(chǔ)管理特點(diǎn)定制的處理器,比通用存儲(chǔ)控制器芯片好用很多,在成本、功耗、延遲和可靠性等多方面具有明顯的優(yōu)勢(shì)。

所以在EWC 2023上,全球領(lǐng)先的RISC-V IP和處理器定制工具廠商Codasip的展位來了很多觀眾,找到Codasip希望進(jìn)一步了解定制處理器的流程和成本。此前,Codasip的低功耗、高性能和應(yīng)用處理器三大系列RISC-V處理器IP,加上該公司提供的Codasip Studio定制處理器自動(dòng)設(shè)計(jì)(EDA)工具,可以實(shí)現(xiàn)處理器的架構(gòu)優(yōu)化、軟件/硬件協(xié)同設(shè)計(jì)和特定領(lǐng)域硬件加速設(shè)計(jì),從而為全球許多細(xì)分市場(chǎng)龍頭電子/芯片公司提供了支持。2022年,全球RISC-V處理器芯片的出貨量超過了100億顆,Codasip客戶出貨量則超過了20億顆。

諸如Codasip這樣的企業(yè)通過提供RISC-V處理器IP及定制處理器EDA工具,將定制處理器的設(shè)計(jì)過程大大簡化

越來越強(qiáng)的需求,再借助諸如Codasip的全系列RISC-V處理器IP和EDA工具,使處理器定制服務(wù)成為了一項(xiàng)前景廣闊的芯片設(shè)計(jì)服務(wù),也是目前在我國快速發(fā)展的服務(wù)型制造的一個(gè)新的模式。服務(wù)型制造作為中國制造高質(zhì)量發(fā)展的三大模式之一,已被寫入了十四五規(guī)劃和2035遠(yuǎn)景規(guī)劃,工業(yè)和信息化部聯(lián)手浙江省支持在杭州成立了服務(wù)型制造研究院,開展相關(guān)研究、推廣和示范遴選等工作。

差異化是另外一條可行之道

除了廣譜的通用處理器和直面應(yīng)用的定制處理器,打造差異化的處理器產(chǎn)品也是國內(nèi)MCU廠商在進(jìn)口替代以外的另一條新路。總結(jié)起來可以采用兩種模式:MCU+和MCU-。以MCU為核心來定義產(chǎn)品可以有非常多的擴(kuò)展機(jī)會(huì),而且成功案例非常多。在MCU+方面新賽道很多,如近年來像Silicon Labs等公司結(jié)合自己的射頻(RF)技術(shù)和物聯(lián)網(wǎng)安全技術(shù)優(yōu)勢(shì)打造了被物聯(lián)網(wǎng)領(lǐng)域廣泛引入的MCU+RF+安全無線SoC。在MCU-方面,過去大家一直在追逐更低功耗的MCU,現(xiàn)在則在推動(dòng)更多的減法。

國內(nèi)也有越來越多在其他領(lǐng)域取得成功的中國芯企業(yè)開始利用MCU+模式進(jìn)軍MCU市場(chǎng)。例如本文一開頭提到的中期利潤增長兩倍的北京華大電子是國產(chǎn)安全芯片的龍頭,全球也能排進(jìn)前四名,現(xiàn)在也開始做MCU了。該公司的產(chǎn)品是集成了Arm M0內(nèi)核和安全芯片的安全MCU,主要用于智能表、工業(yè)設(shè)備等行業(yè)應(yīng)用,以取代行業(yè)原有通用方案中獨(dú)立MCU芯片和獨(dú)立安全芯片模式。

北京華大電子利用自己在安全芯片(SE)領(lǐng)域的優(yōu)勢(shì),打造MCU+SE的功能化MCU,并大舉進(jìn)軍物聯(lián)網(wǎng)等市場(chǎng)(圖片來自華大電子網(wǎng)站)

相較于目前需求量的確巨大但仍處于紅海的消費(fèi)性MCU芯片,工業(yè)MCU芯片是一條穿越當(dāng)前經(jīng)濟(jì)周期,而且能夠享受到政府刺激經(jīng)濟(jì)發(fā)展在基礎(chǔ)設(shè)施建設(shè)等方面投入的細(xì)分市場(chǎng),安全MCU也是響應(yīng)信息安全需求和立足我國自有安全技術(shù)的一條差異化和更高效的技術(shù)路線。目前,北京華大電子的安全MCU系列已形成超低功耗安全MCU和通用安全MCU兩大類三個(gè)系列近80款產(chǎn)品,能夠覆蓋很多物聯(lián)網(wǎng)應(yīng)用。

除了常見的降功耗,MCU-也可以帶來很多有趣的產(chǎn)品。不久前,Silicon Labs推出了新型EFM8 BB50 MCU,它是一系列專為極小型物聯(lián)網(wǎng)(IoT)設(shè)備打造的產(chǎn)品,可以提高設(shè)計(jì)靈活性,同時(shí)降低成本和復(fù)雜性。該產(chǎn)品其尺寸范圍從邊長2毫米(約#2鉛筆芯的寬度)到5毫米(小于標(biāo)準(zhǔn)#2鉛筆的寬度)。在這極小尺寸封裝中,該MCU集成了豐富的模擬和通信外圍設(shè)備,大幅減少外部組件數(shù)量,從而顯著降低產(chǎn)品總體物料清單(BOM)成本。

Silicon Labs的BB5系列MCU體積最小可到2X2mm,并利用高集成度減少外圍器件,成為一款有趣的MCU-產(chǎn)品

這一系列特性使BB50成為微型、電池優(yōu)化設(shè)備的理想選擇,例如互聯(lián)醫(yī)療設(shè)備、可穿戴設(shè)備、資產(chǎn)監(jiān)控標(biāo)簽、智能傳感器,以及牙刷和玩具等簡單的消費(fèi)電子產(chǎn)品等。全新的BB50和較大的BB5x MCU系列具有適用于8位和32位的通用工具和軟件,高性能內(nèi)核,寬工作電壓和低功耗模式,各種封裝選項(xiàng)以及數(shù)百個(gè)固件用例等特性,可以幫助設(shè)計(jì)人員提高設(shè)計(jì)靈活性,同時(shí)降低成本和復(fù)雜性。

總結(jié)與展望

近年來國內(nèi)MCU芯片廠商已經(jīng)取得了長足的發(fā)展,但是從領(lǐng)域內(nèi)上市公司情況來看進(jìn)口替代策略已經(jīng)產(chǎn)生了明顯的次生災(zāi)害。不過,這些MCU廠商已經(jīng)擁有了健全的技術(shù)團(tuán)隊(duì)、客戶陣營和市場(chǎng)服務(wù)網(wǎng)絡(luò),同時(shí)手里又握著巨額的現(xiàn)金,因此具備了再一次實(shí)現(xiàn)突破的基礎(chǔ)。通過打造自有架構(gòu)、定制化和差異化等方式,借助中國市場(chǎng)豐富的場(chǎng)景讓嵌入式計(jì)算再次騰飛。

A股上市MCU公司的另一項(xiàng)巨大的優(yōu)勢(shì)是其非常高的市盈率,比如根據(jù)東方財(cái)富網(wǎng)6月21日的動(dòng)態(tài)市盈率數(shù)據(jù):中微半導(dǎo)(688380)為超過160倍,中穎電子(300327)為將近70倍,國民技術(shù)(3000077)為-25倍,兆易創(chuàng)新(603986)為將近120倍。這意味著這些芯片企業(yè)比他們的海外同行具有更高的籌資能力,可以更容易地募集資金來開辟新戰(zhàn)場(chǎng)和發(fā)動(dòng)新戰(zhàn)役。

手握巨量現(xiàn)金和高市盈率是A股上市中國芯企業(yè)的巨大優(yōu)勢(shì),希望不久的將來再次看到中國芯企業(yè)的收購戰(zhàn)役

海外資本市場(chǎng)上同樣的中國芯上市企業(yè)的市盈率至少低十幾倍,比如一開始提到的、目前也在開發(fā)和推廣安全MCU的北京華大電子,全資持有該公司的香港上市公司、央企中國電子孫公司中電華大科技(00085.HK)的市盈率還不到6倍,而且還是在2023年中期凈利潤還增長了多達(dá)200%有望達(dá)到6.5億港元,7月底將每股現(xiàn)金分紅0.08港元(含稅)的情況下還有這樣的低市值。

中國芯企業(yè)通過自身研發(fā)以及與國際領(lǐng)先工具廠商合作全方位進(jìn)軍工業(yè)與車規(guī)MCU的應(yīng)用市場(chǎng)

從目前的形勢(shì)來看,產(chǎn)業(yè)整合和通過資本聯(lián)姻將成為未來中國芯領(lǐng)域內(nèi)做大做強(qiáng)的手段之一,高市盈率的公司通過股市籌資去并購競(jìng)爭對(duì)手或者目標(biāo)市場(chǎng)玩家也符合規(guī)模經(jīng)濟(jì)規(guī)律和競(jìng)爭法則,專業(yè)資本跨境購入諸如中電華大科技這樣相對(duì)于A股上同行可能被低估的龍頭企業(yè)股份并推動(dòng)與自己其他的投資組合成員公司形成策略聯(lián)盟,也都可以推動(dòng)行業(yè)優(yōu)化發(fā)展。因此,我們也可以從產(chǎn)業(yè)資本活躍度來觀察行業(yè)發(fā)展趨勢(shì)。

特別說明:本文中談到的相關(guān)上市公司及其產(chǎn)品和數(shù)據(jù)均不構(gòu)成投資建議,敬請(qǐng)讀者注意。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動(dòng)力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉