當前位置:首頁 > 單片機 > 單片機
[導(dǎo)讀]為了把KS0108系列的液晶吃透,特別制作了這款萬年歷,感覺效果還是不錯的.希望大家分享我的喜悅,畢竟有了更多志同道合的朋友支持,我才能更進一步提高.一,原理介紹說明:1.單片機還是采用PIC中最經(jīng)典的PIC16F877A,端口多

為了把KS0108系列的液晶吃透,特別制作了這款萬年歷,感覺效果還是不錯的.希望大家分享我的喜悅,畢竟有了更多志同道合的朋友支持,我才能更進一步提高.

一,原理介紹

說明:

1.單片機還是采用PIC中最經(jīng)典的PIC16F877A,端口多,功能全,特別是他有8K的ROM,這是我選擇的主要原因,因為儲存液晶的字庫需要很大的空間.

2.液晶顯示還是用的KS0108系列,主要是他性價比高,指令簡單,特別是公司也在用.

3.時鐘/日歷芯片用的DALLOS的DS1302芯片,他可以儲存從2000-2099年的日歷,及實時時鐘,可以方便的讀寫.

4.溫度測量還是用的DS18B20,這在我上一實例中已經(jīng)用過,有興趣可以查閱.

5.本萬年歷可以顯示實時時鐘,精確到秒,年,月,日,星期,陰歷,溫度,生肖等,顯示的信息量大.

6,可以通過按鍵自由設(shè)定時鐘及日歷,按"設(shè)置"鍵可以在秒,分,時,日,月,星期,年之間來回切換,要設(shè)置的單元以閃爍提醒.通過"+"."-"按鍵可以把要設(shè)置的單元設(shè)定到預(yù)想狀態(tài).

二,程序說明:

本程序有許多小的模塊,現(xiàn)分列如下:

1.主程序

/***************************************************
* 標題:萬年歷 *
* 作者:Wujieflash *
* 日期:2008年1月13日*
* 說明:包含文件,

,

, *
***************************************************/
#include
#include "ziku.h"
#include "lcd_init.h"
#include "ds1302.h"
#include "ds18b20.h"
#include "keyscan.h"
#include "yinli.h"

//子程序
//LCD顯示空白邊框子程序
void LCDShowTable()
{
uch i;
SlectScreen(1); //寫左半屏
SetLine(0); //起使頁
SetColumn(0); //起使列
for(i=0;i<64;i++)
{
if((i==0)||(i==63))WriteData(0xff);//第1、63列豎線
else WriteData(0x01); //最上面一行線
}
for(i=1;i<7;i++)
{
SetLine(i);
SetColumn(0);
WriteData(0xff); //豎線
SetColumn(63);
WriteData(0xff); //豎線
}
SetLine(7);
SetColumn(0);
for(i=0;i<64;i++)
{
if((i==0)||(i==63))WriteData(0xff);
else WriteData(0x80); //最下面的橫線
}

SlectScreen(2);//寫右半屏
SetLine(0);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x01);
}
SetLine(4);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x01);
}
for(i=1;i<7;i++)
{
SetLine(i);
SetColumn(63);
WriteData(0xff);
}
SetLine(7);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x80);
}
}
/*----------------------------------------------------------*/

//顯示固定字符子程序
void LCDShowGudingWord()
{
Show8X16_2(0,24,s0);
Show8X16_2(0,32,s0);
Show8X16(2,1,s0);
Show8X16(2,9,s0);
Show16X16_3(6,40,ri);
Show16X32(2,24,ss0);
Show16X32(2,40,ss0);
Show8X16_2(0,64,s0);
Show8X16_2(0,72,s0);
Show8X16_2(0,80,maohao);
Show8X16_2(0,88,s0);
Show8X16_2(0,96,s0);
Show8X16_2(0,104,maohao);
Show8X16_2(0,112,s0);
Show8X16_2(0,119,s0);
Show8X16(2,80,s0);
Show8X16(2,88,s0);
Show16X16_2(4,64,shiyi);
Show16X16_2(4,80,yue);
Show16X16_2(4,95,chu);
Show16X16_2(4,111,yi);
Show16X16_3(6,72,sheng);
Show16X16_3(6,88,xiao);
Show16X16_3(6,104,shu);

Show16X16_2(0,40,nian);
Show16X16(4,2,yue);
Show16X16_3(6,8,xing);
Show16X16_3(6,24,qi);
Show16X16(2,96,danwei1);
Show8X16_2(0,8,s2);
Show8X16_2(0,16,s0);
}
/*----------------------------------------------------------*/

//TRM1初始化子程序
void TMR1init()
{
//TRM1 INITIAL
T1CON=0X30; //8分頻
TMR1IF=0; //清中斷標志
TMR1IE=1; //使能定時器1中斷
TMR1L=0XDB; //初始值(定時0.5S)
TMR1H=0X0B;
TMR1ON=1; //開定時器1
}

//冒號閃爍子程序
void FlashMaohao()
{
static uch timecount=0;
if(TMR1IF==1)
{
TMR1ON=0;
TMR1IF=0;
TMR1L=0XDB; //重新付初值
TMR1H=0X0B;
flag++;
flag=flag%2; //閃爍標志在0-1間翻轉(zhuǎn)
TMR1ON=1;
timecount++;
if(timecount==120)//1分鐘采樣一次溫度
{
timecount=0;
get_temp(); //溫度轉(zhuǎn)換子程序
}
}
if(flag==0)
{
Show8X16_2(0,80,maohao);
Show8X16_2(0,104,maohao);
}
if(flag==1)
{
Show8X16_2(0,80,noshu);//清除
Show8X16_2(0,104,noshu);
}
}

//主程序
void main()
{
TMR1init(); //定時器1初始化
keyinit(); //鍵盤初始化
LCDinit(); //LCD操作初始化
LCDShowTable(); //顯示空白表格
LCDShowGudingWord(); //顯示固定字符
//Set1302(DisCash); //設(shè)置初始時間(默認寫入我寫程序的時間)
get_temp(); //讀取溫度
while(1)
{
v_Get1302(clock); //讀取時間、日歷
display();
YangToYin(clock[6]/16*10+clock[6]&0x0f,clock[4]/16*10+clock[4]&0x0f,clock[3]/16*10+clock[3]&0x0f);
FlashMaohao(); //冒號閃爍
KeyScan(); //鍵盤掃描
}
}

2.測溫程序:

/***************************************************
* 標題:DS18B20測溫*
* 作者:Wujieflash *
* 日期:2008年1月13日*
* 說明:使用DS18B20芯片測溫 *
***************************************************/
# define DQ RC3 //定義18B20數(shù)據(jù)端口
# define DQ_DIR TRISC3 //定義18B20D口方向寄存器
# define DQ_HIGH() DQ_DIR =1 //設(shè)置數(shù)據(jù)口為輸入
# define DQ_LOW() DQ = 0; DQ_DIR = 0 //設(shè)置數(shù)據(jù)口為輸出
unsigned char TLV=0 ; //采集到的溫度高8位
unsigned char THV=0; //采集到的溫度低8位
unsigned char TZ=0; //轉(zhuǎn)換后的溫度值整數(shù)部分

//------------------------------------------------
//延時函數(shù)


//系統(tǒng)初始化函數(shù)
void init()
{
ADCON1=0X07; //設(shè)置A口為普通數(shù)字口
TRISA=0X00; //設(shè)置A口方向為輸出
//TRISC3=0; //設(shè)置D口方向為輸出
}

//-----------------------------------------------
//復(fù)位DS18B20函數(shù)
reset(void)
{
char presence=1;
while(presence)
{
DQ_LOW() ; //主機拉至低電平
delay(2,90); //延時503us
DQ_HIGH(); //釋放總線等電阻拉高總線,并保持15~60us
delay(2,8); //延時70us
if(DQ==1) presence=1; //沒有接收到應(yīng)答信號,繼續(xù)復(fù)位
else presence=0; //接收到應(yīng)答信號
delay(2,70); //延時430us
}
}

//-----------------------------------------------
//寫18b20寫字節(jié)函數(shù)
void write_byte(uch val)
{
uch i;
uch temp;
for(i=8;i>0;i--)
{
temp=val&0x01; //最低位移出
DQ_LOW();
NOP();
NOP();
NOP();
NOP();
NOP(); //從高拉至低電平,產(chǎn)生寫時間隙
if(temp==1) DQ_HIGH(); //如果寫1,拉高電平
delay(2,7); //延時63us
DQ_HIGH();
NOP();
NOP();
val=val>>1; //右移一位
}
}

//------------------------------------------------
//18b20讀字節(jié)函數(shù)
uch read_byte(void)
{
uch i;
uch value=0; //讀出溫度
static bit j;
for(i=8;i>0;i--)
{
value>>=1;
DQ_LOW();
NOP();
NOP();
NOP();
NOP(); //6us
DQ_HIGH(); //拉至高電平
NOP();
NOP();
NOP(); //4us
j=DQ;
if(j) value|=0x80;
delay(2,7); //63us
}
return(value);
}

//-------------------------------------------------
//啟動溫度轉(zhuǎn)換函數(shù)
void get_temp()
{
int i;
DQ_HIGH();
reset(); //復(fù)位等待從機應(yīng)答
write_byte(0XCC); //忽略ROM匹配
write_byte(0X44); //發(fā)送溫度轉(zhuǎn)化命令
for(i=10;i>0;i--)
{

delay(201,132); //調(diào)用多次顯示函數(shù),確保溫度轉(zhuǎn)換完成所需要的時間
}
reset(); //再次復(fù)位,等待從機應(yīng)答
write_byte(0XCC); //忽略ROM匹配
write_byte(0XBE); //發(fā)送讀溫度命令
TLV=read_byte(); //讀出溫度低8
THV=read_byte(); //讀出溫度高8位
DQ_HIGH(); //釋放總線
TZ=(TLV>>4)|(THV<<4)&0X3f; //溫度整數(shù)部分
ChangeToLCD(2,80,TZ);
}

3.日歷顯示程序

/***************************************************
* 標題:DS1302讀寫 *
* 作者:Wujieflash *
* 日期:2008年1月14日*
* 說明:日歷顯示范圍:2000年--2099年*
***************************************************/
#define RST RC0
#define SCLK RC1
#define IO RC2
uch flag=0;
uch second=1,minute=1,hour=1,year=1,month=1,date=1,day=1;
uch clock[]={0};
uch DisCash[]={0x00,0x30,0x09,0x16,0x01,0x03,0x09};
/////往1302寫入1Byte數(shù)據(jù)////////////////////////
void RTInputByte(uch d)
{
uch i;
TRISC=0x00;
for(i=8; i>0; i--)
{
IO = d&0x01; //取最低位
SCLK = 1; //上升沿發(fā)送
SCLK = 0; //恢復(fù)
d = d >> 1;
}
}
///////從1302讀取1Byte數(shù)據(jù)////////////////////////
uch RTOutputByte(void)
{
uch i,val=0;
TRISC2=1; //設(shè)置為輸入
for(i=8; i>0; i--)
{
val = val >>1;
if(IO)val=val|0x80;// 從最低位開始接收
SCLK = 1; //下降沿接收
SCLK = 0;
}
return(val);
}
///////先寫地址,后寫命令/數(shù)據(jù)//////////////////////////
void W1302(uch ucAddr, uch ucDa)
{
RST = 0;
SCLK = 0;
RST = 1; //打開DS1302
RTInputByte(ucAddr); // /* 地址,命令 */
RTInputByte(ucDa); // /* 寫1Byte數(shù)據(jù)*/
SCLK = 1;
RST = 0; //關(guān)閉DS1302
}
///////先寫地址,后讀命令/數(shù)據(jù)////////////////////////
uch R1302(uch ucAddr)
{
uch ucData;
RST = 0;
SCLK = 0;
RST = 1;
RTInputByte(ucAddr); // /* 地址,命令 */
ucData = RTOutputByte(); // /* 讀1Byte數(shù)據(jù) */
SCLK = 1;
RST = 0;
return(ucData);
}
/////////向1302寫入 秒 分 時 日 月 星期 年 */////////////
void Set1302(uch *pClock)
{
uch i;
uch ucAddr = 0x80; //起使地址
W1302(0x8e,0x00); ///* 控制命令,WP=0,允許寫操作*/
for(i =7; i>0; i--)
{
W1302(ucAddr,*pClock); ///* 秒 分 時 日 月 星期 年 */
pClock++;
ucAddr +=2; //寫地址加2
}
W1302(0x8e,0x80); // /* 控制命令,WP=1,寫保護*/
}
////////從1302讀出 秒 分 時 日 月 星期 年 *//////////////////
void v_Get1302(unsigned char ucCurtime[])
{
unsigned char i;
unsigned char ucAddr = 0x81;
for(i=0;i<7;i++)
{
ucCurtime[i] = R1302(ucAddr);///*格式為: 秒 分 時 日 月 星期 年 */
ucAddr += 2;
}
}

/////////與LCD的顯示接口//////////////////////
void display()
{
uch i;
for(i=0;i<7;i++)
{
clock[i]=clock[i]/16*10+clock[i]%16;//轉(zhuǎn)換為十六進制
}
if(second==1)ChangeToLCD2(0,111,clock[0]);//顯示秒
if(minute==1)ChangeToLCD2(0,88,clock[1]); //顯示分
if(hour==1)ChangeToLCD2(0,64,clock[2]); //顯示時
if(year==1)ChangeToLCD2(0,24,clock[6]); //顯示年
if(month==1)ChangeToLCD(2,2,clock[4]); //顯示月
if(date==1)ChangeToLCD3(2,24,clock[3]); //顯示日
if(day==1)ChangeToLCD4(6,40,clock[5]); //顯示星期
ChangeToLCD5(6,104,clock[6]); //顯示生肖

}

4.按鍵掃描與服務(wù)程序

/***************************************************
* 標題:按鍵掃描和服務(wù) *
* 作者:Wujieflash *
* 日期:2008年1月17日*
* 說明:當按鍵按下,選中的單元就會閃爍 *
***************************************************/
uch k=0;

//鍵盤初始化子程序
void keyinit()
{
TRISD0=1;
TRISD0=1;
TRISD0=1;
}
/*----------------------------------------------------------*/

//鍵盤掃描子程序
void KeyScan()
{
int d;
if(RD0==0) //設(shè)置鍵按下
{
k++; //選定入口值
k=k%8;
}
while(1)
{
if(RD0==1)break;//等待按鍵松開
}
switch(k)//鍵盤服務(wù)入口
{
case 1://設(shè)置秒
{
d=R1302(0x81);//讀取秒
d=d/16*10+d%16;//轉(zhuǎn)換為16進制
second=flag; //設(shè)置秒的閃爍標志
minute=1; //其余變量不閃爍
hour=1;
year=1;
month=1;
date=1;
day=1;
if(second==0) //閃爍
{
Show8X16_2(0,111,noshu);
Show8X16_2(0,119,noshu);
}
if(RD1==0) //秒數(shù)值加1
{
d++;
if(d>0x3b)d=0;//大于59就為0
d=d/10*16+d%10;
W1302(0x80,d);//寫入DS1302
while(1)
{
if(RD1==1)break;//等待鍵松開
}
}
if(RD2==0)//數(shù)值減1
{
d--;
if(d<0)d=0x3b;//小于0就寫59
d=d/10*16+d%10;
W1302(0x80,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 2://設(shè)置分
{
d=R1302(0x83);
d=d/16*10+d%16;
minute=flag;
second=1;
hour=1;
year=1;
month=1;
date=1;
day=1;
if(minute==0)
{
Show8X16_2(0,96,noshu);
Show8X16_2(0,88,noshu);
}
if(RD1==0)
{
d++;
if(d>0x3b)d=0;
d=d/10*16+d%10;
W1302(0x82,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x3b;
d=d/10*16+d%10;
W1302(0x82,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 3://設(shè)置時
{
d=R1302(0x85);
d=d/16*10+d%16;
hour=flag;
second=1;
minute=1;
year=1;
month=1;
date=1;
day=1;
if(hour==0)
{
Show8X16_2(0,64,noshu);
Show8X16_2(0,72,noshu);
}
if(RD1==0)
{
d++;
if(d>0x17)d=0;
d=d/10*16+d%10;
W1302(0x84,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x17;
d=d/10*16+d%10;
W1302(0x84,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 4://設(shè)置日期
{
d=R1302(0x87);
d=d/16*10+d%16;
date=flag;
second=1;
minute=1;
year=1;
month=1;
hour=1;
day=1;
if(date==0)
{
Show16X32(2,24,noshu2);
Show16X32(2,40,noshu2);
}
if(RD1==0)
{
d++;
if(d>0x1f)d=1;
d=d/10*16+d%10;
W1302(0x86,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x1f;
d=d/10*16+d%10;
W1302(0x86,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 5://設(shè)置月
{
d=R1302(0x89);
d=d/16*10+d%16;
month=flag;
second=1;
minute=1;
year=1;
hour=1;
date=1;
day=1;
if(month==0)
{
Show8X16(2,2,noshu);
Show8X16(2,10,noshu);
}
if(RD1==0)
{
d++;
if(d>0x0c)d=1;
d=d/10*16+d%10;
W1302(0x88,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x0c;
d=d/10*16+d%10;
W1302(0x88,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 6://設(shè)置星期
{
d=R1302(0x8b);
d=d/16*10+d%16;
day=flag;
second=1;
minute=1;
year=1;
hour=1;
date=1;
month=1;
if(day==0)
{
Show16X16_3(6,40,noshu3);
}
if(RD1==0)
{
d++;
if(d>0x07)d=1;
d=d/10*16+d%10;
W1302(0x8a,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x07;
d=d/10*16+d%10;
W1302(0x8a,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 7://設(shè)置年
{
d=R1302(0x8d);
d=d/16*10+d%16;
year=flag;
second=1;
minute=1;
month=1;
hour=1;
date=1;
day=1;
if(year==0)
{
Show8X16_2(0,24,noshu);
Show8X16_2(0,32,noshu);
}
if(RD1==0)
{
d++;
if(d>0x63)d=0;
d=d/10*16+d%10;
W1302(0x8c,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x63;
d=d/10*16+d%10;
W1302(0x8c,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 0://設(shè)置完畢,不閃爍
{
second=1;
minute=1;
month=1;
hour=1;
date=1;
day=1;
year=1;
break;
}
}
}

5.液晶顯示程序

/***************************************************
* 標題:LCD操作 *
* 作者:Wujieflash *
* 日期:2008年1月12日*
* 說明:KS0108系列液晶不帶字庫*
***************************************************/
#include

#define E RA0//液晶使能端
#define RW RA1//讀寫控制端
#define DI RA2//數(shù)據(jù)/指令通道
#define CSA RA5//片選
#define CSB RA3//片選
#define nop() asm("nop")

////////////////////////基本子函數(shù)///////////////////////
//延時
void delay(char x,char y)
{
char z;
do{
z=y;
do{;}while(--z);
}while(--x);
}
//其指令時間為:7+(3*(Y-1)+7)*(X-1)如果再加上函數(shù)調(diào)用的call 指令、頁面設(shè)定、傳遞參數(shù)花掉的7 個指令。
//則是:14+(3*(Y-1)+7)*(X-1)。

//--------------------------------------------------
void LCDdelay()
{
unsigned int i=500;
while(i--)
{;}
}
/*----------------------------------------------------*/

//狀態(tài)檢查
void CheckState()
{
unsigned int dat;
RW=1; //讀狀態(tài)
DI=0;
TRISB=0xff; //設(shè)置口為輸入
while(1)
{
E=1; //高電平讀數(shù)據(jù)
dat=PORTB&0x90; //當4、7位為0時為正常狀態(tài)
E=0;
if(dat==0x00)break;
}
TRISB=0x00; //恢復(fù)口為輸出
}
/*----------------------------------------------------*/

//寫顯示數(shù)據(jù)
//dat:顯示數(shù)據(jù)
void WriteData(unsigned char dat)
{
CheckState();
DI=1; //寫數(shù)據(jù)
RW=0;
PORTB=dat;
E=1;
E=0;
}
/*---------------------------------------------------*/

//寫指令
//command:操作LCD的指令
void WriteCommand(unsigned char dat)
{
CheckState();
RW=0; //寫指令
PORTB=dat;
E=1;
E=0;
}
/*---------------------------------------------------*/

//設(shè)定頁地址----X:0--7
void SetLine(unsigned char line)
{
line=line&0x07; //0<=line<=7
line=line|0xb8;
WriteCommand(line);
}
/*---------------------------------------------------*/

//設(shè)定列地址----Y:0--63
void SetColumn(unsigned char column)
{
column=column&0x3f; //0<=column<=63
column=column|0x40;
WriteCommand(column);
}
/*--------------------------------------------------*/

//設(shè)定開始行
void SetStartLine(unsigned char startline)
{
startline=startline&0x3f; //0<=startline<=63
startline=startline|0xc0;
WriteCommand(startline);
}
/*--------------------------------------------------*/

//開關(guān)顯示
//1:開顯示 0:關(guān)顯示
void SetOnOff(unsigned char state)
{
state=state|0x3e;
WriteCommand(state);
}
/*--------------------------------------------------*/

//選擇屏幕
//screen: 1:左屏 2:右屏
void SlectScreen(unsigned char screen)
{
switch(screen)
{
case 1: CSA=0;
CSB=1;//左屏
break;
case 2: CSA=1;
CSB=0;//右屏
break;
}
}
/*--------------------------------------------------*/

//清屏
//screen: 1:左屏 2:右屏
void ClearScreen(unsigned char screen)
{
unsigned char i,j;
SlectScreen(screen);
for(i=0;i<8;i++)
{
SetLine(i);
for(j=0;j<64;j++)
{
WriteData(0x00);
WriteData(0x00);
}
}
}
/*---------------------------------------------------*/

//顯示一個16X16的漢字
void Show16X16(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;//
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X16的漢字帶上劃線
void Show16X16_2(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt|0x01); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X16的漢字帶下劃線
void Show16X16_3(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt|0x80);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個8X16的字符
void Show8X16(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<8;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<8;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個8X16的字符帶上劃線
void Show8X16_2(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<8;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt|0x01); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<8;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X32的字符
void Show16X32(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp1,column_temp2,column_temp3;
column_temp1=column;
column_temp2=column;
column_temp3=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1);
column=column_temp1;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+2);
column=column_temp2;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+2);
}
WriteData(*pt);
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+3);
column=column_temp3;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+3);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//LCD初始化子程序
void LCDinit()
{
ADCON1=0x06;
TRISA=0x00;
TRISB=0x00;
PORTB=0x00;
SetOnOff(1);
}
/*----------------------------------------------------------*/

//調(diào)用LCD顯示子程序
void ChangeToLCD(uch line,uch column,uch data)
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show8X16(line,column+8,s0);break;}
case 1:{Show8X16(line,column+8,s1);break;}
case 2:{Show8X16(line,column+8,s2);break;}
case 3:{Show8X16(line,column+8,s3);break;}
case 4:{Show8X16(line,column+8,s4);break;}
case 5:{Show8X16(line,column+8,s5);break;}
case 6:{Show8X16(line,column+8,s6);break;}
case 7:{Show8X16(line,column+8,s7);break;}
case 8:{Show8X16(line,column+8,s8);break;}
case 9:{Show8X16(line,column+8,s9);break;}
}
switch(D_shi)
{
case 0:{Show8X16(line,column,s0);break;}
case 1:{Show8X16(line,column,s1);break;}
case 2:{Show8X16(line,column,s2);break;}
case 3:{Show8X16(line,column,s3);break;}
case 4:{Show8X16(line,column,s4);break;}
case 5:{Show8X16(line,column,s5);break;}
case 6:{Show8X16(line,column,s6);break;}
case 7:{Show8X16(line,column,s7);break;}
case 8:{Show8X16(line,column,s8);break;}
case 9:{Show8X16(line,column,s9);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD2(uch line,uch column,uch data)//帶上劃線
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show8X16_2(line,column+8,s0);break;}
case 1:{Show8X16_2(line,column+8,s1);break;}
case 2:{Show8X16_2(line,column+8,s2);break;}
case 3:{Show8X16_2(line,column+8,s3);break;}
case 4:{Show8X16_2(line,column+8,s4);break;}
case 5:{Show8X16_2(line,column+8,s5);break;}
case 6:{Show8X16_2(line,column+8,s6);break;}
case 7:{Show8X16_2(line,column+8,s7);break;}
case 8:{Show8X16_2(line,column+8,s8);break;}
case 9:{Show8X16_2(line,column+8,s9);break;}
}
switch(D_shi)
{
case 0:{Show8X16_2(line,column,s0);break;}
case 1:{Show8X16_2(line,column,s1);break;}
case 2:{Show8X16_2(line,column,s2);break;}
case 3:{Show8X16_2(line,column,s3);break;}
case 4:{Show8X16_2(line,column,s4);break;}
case 5:{Show8X16_2(line,column,s5);break;}
case 6:{Show8X16_2(line,column,s6);break;}
case 7:{Show8X16_2(line,column,s7);break;}
case 8:{Show8X16_2(line,column,s8);break;}
case 9:{Show8X16_2(line,column,s9);break;}
}
}
/*-----------------------------------------------------------------*/
void ChangeToLCD3(uch line,uch column,uch data)//帶下劃線
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show16X32(line,column+16,ss0);break;}
case 1:{Show16X32(line,column+16,ss1);break;}
case 2:{Show16X32(line,column+16,ss2);break;}
case 3:{Show16X32(line,column+16,ss3);break;}
case 4:{Show16X32(line,column+16,ss4);break;}
case 5:{Show16X32(line,column+16,ss5);break;}
case 6:{Show16X32(line,column+16,ss6);break;}
case 7:{Show16X32(line,column+16,ss7);break;}
case 8:{Show16X32(line,column+16,ss8);break;}
case 9:{Show16X32(line,column+16,ss9);break;}
}
switch(D_shi)
{
case 0:{Show16X32(line,column,ss0);break;}
case 1:{Show16X32(line,column,ss1);break;}
case 2:{Show16X32(line,column,ss2);break;}
case 3:{Show16X32(line,column,ss3);break;}
case 4:{Show16X32(line,column,ss4);break;}
case 5:{Show16X32(line,column,ss5);break;}
case 6:{Show16X32(line,column,ss6);break;}
case 7:{Show16X32(line,column,ss7);break;}
case 8:{Show16X32(line,column,ss8);break;}
case 9:{Show16X32(line,column,ss9);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD4(uch line,uch column,uch data)//星期轉(zhuǎn)換
{
switch(data)
{
case 1:{Show16X16_3(line,column,ri);break;}
case 2:{Show16X16_3(line,column,yi);break;}
case 3:{Show16X16_3(line,column,er);break;}
case 4:{Show16X16_3(line,column,san);break;}
case 5:{Show16X16_3(line,column,si);break;}
case 6:{Show16X16_3(line,column,wu);break;}
case 7:{Show16X16_3(line,column,liu);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD5(uch line,uch column,uch data)//生肖轉(zhuǎn)換
{
data=data%12;
switch(data)
{
case 0:{Show16X16_3(line,column,llong);break;}
case 1:{Show16X16_3(line,column,she);break;}
case 2:{Show16X16_3(line,column,ma);break;}
case 3:{Show16X16_3(line,column,yang);break;}
case 4:{Show16X16_3(line,column,hou);break;}
case 5:{Show16X16_3(line,column,ji);break;}
case 6:{Show16X16_3(line,column,gou);break;}
case 7:{Show16X16_3(line,column,zhu);break;}
case 8:{Show16X16_3(line,column,shu);break;}
case 9:{Show16X16_3(line,column,niu);break;}
case 10:{Show16X16_3(line,column,hu);break;}
case 11:{Show16X16_3(line,column,tu);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD6(uch line,uch column,uch data)//陰歷月轉(zhuǎn)換
{
data=data%12;
switch(data)
{
case 0:{Show16X16_2(line,column,zheng);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
case 3:{Show16X16_2(line,column,si);break;}
case 4:{Show16X16_2(line,column,wu);break;}
case 5:{Show16X16_2(line,column,liu);break;}
case 6:{Show16X16_2(line,column,qqi);break;}
case 7:{Show16X16_2(line,column,ba);break;}
case 8:{Show16X16_2(line,column,jiu);break;}
case 9:{Show16X16_2(line,column,shi);break;}
case 10:{Show16X16_2(line,column,shiyi);break;}
case 11:{Show16X16_2(line,column,la);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD7(uch line,uch column,uch data)//陰歷日
{
data=data%12;
switch(data)
{
case 0:{Show16X16_2(line,column,yi);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
case 3:{Show16X16_2(line,column,si);break;}
case 4:{Show16X16_2(line,column,wu);break;}
case 5:{Show16X16_2(line,column,liu);break;}
case 6:{Show16X16_2(line,column,qqi);break;}
case 7:{Show16X16_2(line,column,ba);break;}
case 8:{Show16X16_2(line,column,jiu);break;}
case 9:{Show16X16_2(line,column,shi);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD8(uch line,uch column,uch data)//陰歷日十位
{
data=data%3;
switch(data)
{
case 0:{Show16X16_2(line,column,chu);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
}
}
/*-----------------------------------------------------------------*/

程序結(jié)束

三,總結(jié)

到現(xiàn)在為止,我對KS0108系列液晶的操作已經(jīng)基本掌握了,并可以熟練應(yīng)用.

但是本程序中陽歷轉(zhuǎn)陰歷的程序還是不對,我想不出更好的轉(zhuǎn)換辦法,希望大家能提供方法完善.為了把KS0108系列的液晶吃透,特別制作了這款萬年歷,感覺效果還是不錯的.希望大家分享我的喜悅,畢竟有了更多志同道合的朋友支持,我才能更進一步提高.

一,原理介紹

說明:

1.單片機還是采用PIC中最經(jīng)典的PIC16F877A,端口多,功能全,特別是他有8K的ROM,這是我選擇的主要原因,因為儲存液晶的字庫需要很大的空間.

2.液晶顯示還是用的KS0108系列,主要是他性價比高,指令簡單,特別是公司也在用.

3.時鐘/日歷芯片用的DALLOS的DS1302芯片,他可以儲存從2000-2099年的日歷,及實時時鐘,可以方便的讀寫.

4.溫度測量還是用的DS18B20,這在我上一實例中已經(jīng)用過,有興趣可以查閱.

5.本萬年歷可以顯示實時時鐘,精確到秒,年,月,日,星期,陰歷,溫度,生肖等,顯示的信息量大.

6,可以通過按鍵自由設(shè)定時鐘及日歷,按"設(shè)置"鍵可以在秒,分,時,日,月,星期,年之間來回切換,要設(shè)置的單元以閃爍提醒.通過"+"."-"按鍵可以把要設(shè)置的單元設(shè)定到預(yù)想狀態(tài).

二,程序說明:

本程序有許多小的模塊,現(xiàn)分列如下:

1.主程序

/***************************************************
* 標題:萬年歷 *
* 作者:Wujieflash *
* 日期:2008年1月13日*
* 說明:包含文件,

,

, *
***************************************************/
#include
#include "ziku.h"
#include "lcd_init.h"
#include "ds1302.h"
#include "ds18b20.h"
#include "keyscan.h"
#include "yinli.h"

//子程序
//LCD顯示空白邊框子程序
void LCDShowTable()
{
uch i;
SlectScreen(1); //寫左半屏
SetLine(0); //起使頁
SetColumn(0); //起使列
for(i=0;i<64;i++)
{
if((i==0)||(i==63))WriteData(0xff);//第1、63列豎線
else WriteData(0x01); //最上面一行線
}
for(i=1;i<7;i++)
{
SetLine(i);
SetColumn(0);
WriteData(0xff); //豎線
SetColumn(63);
WriteData(0xff); //豎線
}
SetLine(7);
SetColumn(0);
for(i=0;i<64;i++)
{
if((i==0)||(i==63))WriteData(0xff);
else WriteData(0x80); //最下面的橫線
}

SlectScreen(2);//寫右半屏
SetLine(0);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x01);
}
SetLine(4);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x01);
}
for(i=1;i<7;i++)
{
SetLine(i);
SetColumn(63);
WriteData(0xff);
}
SetLine(7);
SetColumn(0);
for(i=0;i<64;i++)
{
if(i==63)WriteData(0xff);
else WriteData(0x80);
}
}
/*----------------------------------------------------------*/

//顯示固定字符子程序
void LCDShowGudingWord()
{
Show8X16_2(0,24,s0);
Show8X16_2(0,32,s0);
Show8X16(2,1,s0);
Show8X16(2,9,s0);
Show16X16_3(6,40,ri);
Show16X32(2,24,ss0);
Show16X32(2,40,ss0);
Show8X16_2(0,64,s0);
Show8X16_2(0,72,s0);
Show8X16_2(0,80,maohao);
Show8X16_2(0,88,s0);
Show8X16_2(0,96,s0);
Show8X16_2(0,104,maohao);
Show8X16_2(0,112,s0);
Show8X16_2(0,119,s0);
Show8X16(2,80,s0);
Show8X16(2,88,s0);
Show16X16_2(4,64,shiyi);
Show16X16_2(4,80,yue);
Show16X16_2(4,95,chu);
Show16X16_2(4,111,yi);
Show16X16_3(6,72,sheng);
Show16X16_3(6,88,xiao);
Show16X16_3(6,104,shu);

Show16X16_2(0,40,nian);
Show16X16(4,2,yue);
Show16X16_3(6,8,xing);
Show16X16_3(6,24,qi);
Show16X16(2,96,danwei1);
Show8X16_2(0,8,s2);
Show8X16_2(0,16,s0);
}
/*----------------------------------------------------------*/

//TRM1初始化子程序
void TMR1init()
{
//TRM1 INITIAL
T1CON=0X30; //8分頻
TMR1IF=0; //清中斷標志
TMR1IE=1; //使能定時器1中斷
TMR1L=0XDB; //初始值(定時0.5S)
TMR1H=0X0B;
TMR1ON=1; //開定時器1
}

//冒號閃爍子程序
void FlashMaohao()
{
static uch timecount=0;
if(TMR1IF==1)
{
TMR1ON=0;
TMR1IF=0;
TMR1L=0XDB; //重新付初值
TMR1H=0X0B;
flag++;
flag=flag%2; //閃爍標志在0-1間翻轉(zhuǎn)
TMR1ON=1;
timecount++;
if(timecount==120)//1分鐘采樣一次溫度
{
timecount=0;
get_temp(); //溫度轉(zhuǎn)換子程序
}
}
if(flag==0)
{
Show8X16_2(0,80,maohao);
Show8X16_2(0,104,maohao);
}
if(flag==1)
{
Show8X16_2(0,80,noshu);//清除
Show8X16_2(0,104,noshu);
}
}

//主程序
void main()
{
TMR1init(); //定時器1初始化
keyinit(); //鍵盤初始化
LCDinit(); //LCD操作初始化
LCDShowTable(); //顯示空白表格
LCDShowGudingWord(); //顯示固定字符
//Set1302(DisCash); //設(shè)置初始時間(默認寫入我寫程序的時間)
get_temp(); //讀取溫度
while(1)
{
v_Get1302(clock); //讀取時間、日歷
display();
YangToYin(clock[6]/16*10+clock[6]&0x0f,clock[4]/16*10+clock[4]&0x0f,clock[3]/16*10+clock[3]&0x0f);
FlashMaohao(); //冒號閃爍
KeyScan(); //鍵盤掃描
}
}

2.測溫程序:

/***************************************************
* 標題:DS18B20測溫*
* 作者:Wujieflash *
* 日期:2008年1月13日*
* 說明:使用DS18B20芯片測溫 *
***************************************************/
# define DQ RC3 //定義18B20數(shù)據(jù)端口
# define DQ_DIR TRISC3 //定義18B20D口方向寄存器
# define DQ_HIGH() DQ_DIR =1 //設(shè)置數(shù)據(jù)口為輸入
# define DQ_LOW() DQ = 0; DQ_DIR = 0 //設(shè)置數(shù)據(jù)口為輸出
unsigned char TLV=0 ; //采集到的溫度高8位
unsigned char THV=0; //采集到的溫度低8位
unsigned char TZ=0; //轉(zhuǎn)換后的溫度值整數(shù)部分

//------------------------------------------------
//延時函數(shù)


//系統(tǒng)初始化函數(shù)
void init()
{
ADCON1=0X07; //設(shè)置A口為普通數(shù)字口
TRISA=0X00; //設(shè)置A口方向為輸出
//TRISC3=0; //設(shè)置D口方向為輸出
}

//-----------------------------------------------
//復(fù)位DS18B20函數(shù)
reset(void)
{
char presence=1;
while(presence)
{
DQ_LOW() ; //主機拉至低電平
delay(2,90); //延時503us
DQ_HIGH(); //釋放總線等電阻拉高總線,并保持15~60us
delay(2,8); //延時70us
if(DQ==1) presence=1; //沒有接收到應(yīng)答信號,繼續(xù)復(fù)位
else presence=0; //接收到應(yīng)答信號
delay(2,70); //延時430us
}
}

//-----------------------------------------------
//寫18b20寫字節(jié)函數(shù)
void write_byte(uch val)
{
uch i;
uch temp;
for(i=8;i>0;i--)
{
temp=val&0x01; //最低位移出
DQ_LOW();
NOP();
NOP();
NOP();
NOP();
NOP(); //從高拉至低電平,產(chǎn)生寫時間隙
if(temp==1) DQ_HIGH(); //如果寫1,拉高電平
delay(2,7); //延時63us
DQ_HIGH();
NOP();
NOP();
val=val>>1; //右移一位
}
}

//------------------------------------------------
//18b20讀字節(jié)函數(shù)
uch read_byte(void)
{
uch i;
uch value=0; //讀出溫度
static bit j;
for(i=8;i>0;i--)
{
value>>=1;
DQ_LOW();
NOP();
NOP();
NOP();
NOP(); //6us
DQ_HIGH(); //拉至高電平
NOP();
NOP();
NOP(); //4us
j=DQ;
if(j) value|=0x80;
delay(2,7); //63us
}
return(value);
}

//-------------------------------------------------
//啟動溫度轉(zhuǎn)換函數(shù)
void get_temp()
{
int i;
DQ_HIGH();
reset(); //復(fù)位等待從機應(yīng)答
write_byte(0XCC); //忽略ROM匹配
write_byte(0X44); //發(fā)送溫度轉(zhuǎn)化命令
for(i=10;i>0;i--)
{

delay(201,132); //調(diào)用多次顯示函數(shù),確保溫度轉(zhuǎn)換完成所需要的時間
}
reset(); //再次復(fù)位,等待從機應(yīng)答
write_byte(0XCC); //忽略ROM匹配
write_byte(0XBE); //發(fā)送讀溫度命令
TLV=read_byte(); //讀出溫度低8
THV=read_byte(); //讀出溫度高8位
DQ_HIGH(); //釋放總線
TZ=(TLV>>4)|(THV<<4)&0X3f; //溫度整數(shù)部分
ChangeToLCD(2,80,TZ);
}

3.日歷顯示程序

/***************************************************
* 標題:DS1302讀寫 *
* 作者:Wujieflash *
* 日期:2008年1月14日*
* 說明:日歷顯示范圍:2000年--2099年*
***************************************************/
#define RST RC0
#define SCLK RC1
#define IO RC2
uch flag=0;
uch second=1,minute=1,hour=1,year=1,month=1,date=1,day=1;
uch clock[]={0};
uch DisCash[]={0x00,0x30,0x09,0x16,0x01,0x03,0x09};
/////往1302寫入1Byte數(shù)據(jù)////////////////////////
void RTInputByte(uch d)
{
uch i;
TRISC=0x00;
for(i=8; i>0; i--)
{
IO = d&0x01; //取最低位
SCLK = 1; //上升沿發(fā)送
SCLK = 0; //恢復(fù)
d = d >> 1;
}
}
///////從1302讀取1Byte數(shù)據(jù)////////////////////////
uch RTOutputByte(void)
{
uch i,val=0;
TRISC2=1; //設(shè)置為輸入
for(i=8; i>0; i--)
{
val = val >>1;
if(IO)val=val|0x80;// 從最低位開始接收
SCLK = 1; //下降沿接收
SCLK = 0;
}
return(val);
}
///////先寫地址,后寫命令/數(shù)據(jù)//////////////////////////
void W1302(uch ucAddr, uch ucDa)
{
RST = 0;
SCLK = 0;
RST = 1; //打開DS1302
RTInputByte(ucAddr); // /* 地址,命令 */
RTInputByte(ucDa); // /* 寫1Byte數(shù)據(jù)*/
SCLK = 1;
RST = 0; //關(guān)閉DS1302
}
///////先寫地址,后讀命令/數(shù)據(jù)////////////////////////
uch R1302(uch ucAddr)
{
uch ucData;
RST = 0;
SCLK = 0;
RST = 1;
RTInputByte(ucAddr); // /* 地址,命令 */
ucData = RTOutputByte(); // /* 讀1Byte數(shù)據(jù) */
SCLK = 1;
RST = 0;
return(ucData);
}
/////////向1302寫入 秒 分 時 日 月 星期 年 */////////////
void Set1302(uch *pClock)
{
uch i;
uch ucAddr = 0x80; //起使地址
W1302(0x8e,0x00); ///* 控制命令,WP=0,允許寫操作*/
for(i =7; i>0; i--)
{
W1302(ucAddr,*pClock); ///* 秒 分 時 日 月 星期 年 */
pClock++;
ucAddr +=2; //寫地址加2
}
W1302(0x8e,0x80); // /* 控制命令,WP=1,寫保護*/
}
////////從1302讀出 秒 分 時 日 月 星期 年 *//////////////////
void v_Get1302(unsigned char ucCurtime[])
{
unsigned char i;
unsigned char ucAddr = 0x81;
for(i=0;i<7;i++)
{
ucCurtime[i] = R1302(ucAddr);///*格式為: 秒 分 時 日 月 星期 年 */
ucAddr += 2;
}
}

/////////與LCD的顯示接口//////////////////////
void display()
{
uch i;
for(i=0;i<7;i++)
{
clock[i]=clock[i]/16*10+clock[i]%16;//轉(zhuǎn)換為十六進制
}
if(second==1)ChangeToLCD2(0,111,clock[0]);//顯示秒
if(minute==1)ChangeToLCD2(0,88,clock[1]); //顯示分
if(hour==1)ChangeToLCD2(0,64,clock[2]); //顯示時
if(year==1)ChangeToLCD2(0,24,clock[6]); //顯示年
if(month==1)ChangeToLCD(2,2,clock[4]); //顯示月
if(date==1)ChangeToLCD3(2,24,clock[3]); //顯示日
if(day==1)ChangeToLCD4(6,40,clock[5]); //顯示星期
ChangeToLCD5(6,104,clock[6]); //顯示生肖

}

4.按鍵掃描與服務(wù)程序

/***************************************************
* 標題:按鍵掃描和服務(wù) *
* 作者:Wujieflash *
* 日期:2008年1月17日*
* 說明:當按鍵按下,選中的單元就會閃爍 *
***************************************************/
uch k=0;

//鍵盤初始化子程序
void keyinit()
{
TRISD0=1;
TRISD0=1;
TRISD0=1;
}
/*----------------------------------------------------------*/

//鍵盤掃描子程序
void KeyScan()
{
int d;
if(RD0==0) //設(shè)置鍵按下
{
k++; //選定入口值
k=k%8;
}
while(1)
{
if(RD0==1)break;//等待按鍵松開
}
switch(k)//鍵盤服務(wù)入口
{
case 1://設(shè)置秒
{
d=R1302(0x81);//讀取秒
d=d/16*10+d%16;//轉(zhuǎn)換為16進制
second=flag; //設(shè)置秒的閃爍標志
minute=1; //其余變量不閃爍
hour=1;
year=1;
month=1;
date=1;
day=1;
if(second==0) //閃爍
{
Show8X16_2(0,111,noshu);
Show8X16_2(0,119,noshu);
}
if(RD1==0) //秒數(shù)值加1
{
d++;
if(d>0x3b)d=0;//大于59就為0
d=d/10*16+d%10;
W1302(0x80,d);//寫入DS1302
while(1)
{
if(RD1==1)break;//等待鍵松開
}
}
if(RD2==0)//數(shù)值減1
{
d--;
if(d<0)d=0x3b;//小于0就寫59
d=d/10*16+d%10;
W1302(0x80,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 2://設(shè)置分
{
d=R1302(0x83);
d=d/16*10+d%16;
minute=flag;
second=1;
hour=1;
year=1;
month=1;
date=1;
day=1;
if(minute==0)
{
Show8X16_2(0,96,noshu);
Show8X16_2(0,88,noshu);
}
if(RD1==0)
{
d++;
if(d>0x3b)d=0;
d=d/10*16+d%10;
W1302(0x82,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x3b;
d=d/10*16+d%10;
W1302(0x82,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 3://設(shè)置時
{
d=R1302(0x85);
d=d/16*10+d%16;
hour=flag;
second=1;
minute=1;
year=1;
month=1;
date=1;
day=1;
if(hour==0)
{
Show8X16_2(0,64,noshu);
Show8X16_2(0,72,noshu);
}
if(RD1==0)
{
d++;
if(d>0x17)d=0;
d=d/10*16+d%10;
W1302(0x84,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x17;
d=d/10*16+d%10;
W1302(0x84,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 4://設(shè)置日期
{
d=R1302(0x87);
d=d/16*10+d%16;
date=flag;
second=1;
minute=1;
year=1;
month=1;
hour=1;
day=1;
if(date==0)
{
Show16X32(2,24,noshu2);
Show16X32(2,40,noshu2);
}
if(RD1==0)
{
d++;
if(d>0x1f)d=1;
d=d/10*16+d%10;
W1302(0x86,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x1f;
d=d/10*16+d%10;
W1302(0x86,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 5://設(shè)置月
{
d=R1302(0x89);
d=d/16*10+d%16;
month=flag;
second=1;
minute=1;
year=1;
hour=1;
date=1;
day=1;
if(month==0)
{
Show8X16(2,2,noshu);
Show8X16(2,10,noshu);
}
if(RD1==0)
{
d++;
if(d>0x0c)d=1;
d=d/10*16+d%10;
W1302(0x88,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x0c;
d=d/10*16+d%10;
W1302(0x88,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 6://設(shè)置星期
{
d=R1302(0x8b);
d=d/16*10+d%16;
day=flag;
second=1;
minute=1;
year=1;
hour=1;
date=1;
month=1;
if(day==0)
{
Show16X16_3(6,40,noshu3);
}
if(RD1==0)
{
d++;
if(d>0x07)d=1;
d=d/10*16+d%10;
W1302(0x8a,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<1)d=0x07;
d=d/10*16+d%10;
W1302(0x8a,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 7://設(shè)置年
{
d=R1302(0x8d);
d=d/16*10+d%16;
year=flag;
second=1;
minute=1;
month=1;
hour=1;
date=1;
day=1;
if(year==0)
{
Show8X16_2(0,24,noshu);
Show8X16_2(0,32,noshu);
}
if(RD1==0)
{
d++;
if(d>0x63)d=0;
d=d/10*16+d%10;
W1302(0x8c,d);
while(1)
{
if(RD1==1)break;
}
}
if(RD2==0)
{
d--;
if(d<0)d=0x63;
d=d/10*16+d%10;
W1302(0x8c,d);
while(1)
{
if(RD2==1)break;
}
}
break;
}
case 0://設(shè)置完畢,不閃爍
{
second=1;
minute=1;
month=1;
hour=1;
date=1;
day=1;
year=1;
break;
}
}
}

5.液晶顯示程序

/***************************************************
* 標題:LCD操作 *
* 作者:Wujieflash *
* 日期:2008年1月12日*
* 說明:KS0108系列液晶不帶字庫*
***************************************************/
#include

#define E RA0//液晶使能端
#define RW RA1//讀寫控制端
#define DI RA2//數(shù)據(jù)/指令通道
#define CSA RA5//片選
#define CSB RA3//片選
#define nop() asm("nop")

////////////////////////基本子函數(shù)///////////////////////
//延時
void delay(char x,char y)
{
char z;
do{
z=y;
do{;}while(--z);
}while(--x);
}
//其指令時間為:7+(3*(Y-1)+7)*(X-1)如果再加上函數(shù)調(diào)用的call 指令、頁面設(shè)定、傳遞參數(shù)花掉的7 個指令。
//則是:14+(3*(Y-1)+7)*(X-1)。

//--------------------------------------------------
void LCDdelay()
{
unsigned int i=500;
while(i--)
{;}
}
/*----------------------------------------------------*/

//狀態(tài)檢查
void CheckState()
{
unsigned int dat;
RW=1; //讀狀態(tài)
DI=0;
TRISB=0xff; //設(shè)置口為輸入
while(1)
{
E=1; //高電平讀數(shù)據(jù)
dat=PORTB&0x90; //當4、7位為0時為正常狀態(tài)
E=0;
if(dat==0x00)break;
}
TRISB=0x00; //恢復(fù)口為輸出
}
/*----------------------------------------------------*/

//寫顯示數(shù)據(jù)
//dat:顯示數(shù)據(jù)
void WriteData(unsigned char dat)
{
CheckState();
DI=1; //寫數(shù)據(jù)
RW=0;
PORTB=dat;
E=1;
E=0;
}
/*---------------------------------------------------*/

//寫指令
//command:操作LCD的指令
void WriteCommand(unsigned char dat)
{
CheckState();
RW=0; //寫指令
PORTB=dat;
E=1;
E=0;
}
/*---------------------------------------------------*/

//設(shè)定頁地址----X:0--7
void SetLine(unsigned char line)
{
line=line&0x07; //0<=line<=7
line=line|0xb8;
WriteCommand(line);
}
/*---------------------------------------------------*/

//設(shè)定列地址----Y:0--63
void SetColumn(unsigned char column)
{
column=column&0x3f; //0<=column<=63
column=column|0x40;
WriteCommand(column);
}
/*--------------------------------------------------*/

//設(shè)定開始行
void SetStartLine(unsigned char startline)
{
startline=startline&0x3f; //0<=startline<=63
startline=startline|0xc0;
WriteCommand(startline);
}
/*--------------------------------------------------*/

//開關(guān)顯示
//1:開顯示 0:關(guān)顯示
void SetOnOff(unsigned char state)
{
state=state|0x3e;
WriteCommand(state);
}
/*--------------------------------------------------*/

//選擇屏幕
//screen: 1:左屏 2:右屏
void SlectScreen(unsigned char screen)
{
switch(screen)
{
case 1: CSA=0;
CSB=1;//左屏
break;
case 2: CSA=1;
CSB=0;//右屏
break;
}
}
/*--------------------------------------------------*/

//清屏
//screen: 1:左屏 2:右屏
void ClearScreen(unsigned char screen)
{
unsigned char i,j;
SlectScreen(screen);
for(i=0;i<8;i++)
{
SetLine(i);
for(j=0;j<64;j++)
{
WriteData(0x00);
WriteData(0x00);
}
}
}
/*---------------------------------------------------*/

//顯示一個16X16的漢字
void Show16X16(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;//
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X16的漢字帶上劃線
void Show16X16_2(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt|0x01); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X16的漢字帶下劃線
void Show16X16_3(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt|0x80);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個8X16的字符
void Show8X16(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<8;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<8;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個8X16的字符帶上劃線
void Show8X16_2(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp;
column_temp=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<8;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt|0x01); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1); //設(shè)置漢字下半部分顯示頁
column=column_temp;
SetColumn(column);
for(i=0;i<8;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//顯示一個16X32的字符
void Show16X32(unsigned char line,unsigned char column,const unsigned char *pt)
{
unsigned char i,column_temp1,column_temp2,column_temp3;
column_temp1=column;
column_temp2=column;
column_temp3=column;
SlectScreen(1); //選通左屏
SetColumn(column); //設(shè)置起始列
SetLine(line); //設(shè)置行
for(i=0;i<16;i++)
{
if(column>=64) //如果列>=64,則選通右屏
{
SlectScreen(2);
column=column%64; //設(shè)置右屏起始列
SetColumn(column);
SetLine(line);
}
WriteData(*pt); //寫數(shù)據(jù)
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+1);
column=column_temp1;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+1);
}
WriteData(*pt);
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+2);
column=column_temp2;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+2);
}
WriteData(*pt);
*pt++;
column++;
}
SlectScreen(1);
SetLine(line+3);
column=column_temp3;
SetColumn(column);
for(i=0;i<16;i++)
{
if(column>=64)
{
SlectScreen(2);
column=column%64;
SetColumn(column);
SetLine(line+3);
}
WriteData(*pt);
*pt++;
column++;
}
}
/*-------------------------------------------------------*/

//LCD初始化子程序
void LCDinit()
{
ADCON1=0x06;
TRISA=0x00;
TRISB=0x00;
PORTB=0x00;
SetOnOff(1);
}
/*----------------------------------------------------------*/

//調(diào)用LCD顯示子程序
void ChangeToLCD(uch line,uch column,uch data)
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show8X16(line,column+8,s0);break;}
case 1:{Show8X16(line,column+8,s1);break;}
case 2:{Show8X16(line,column+8,s2);break;}
case 3:{Show8X16(line,column+8,s3);break;}
case 4:{Show8X16(line,column+8,s4);break;}
case 5:{Show8X16(line,column+8,s5);break;}
case 6:{Show8X16(line,column+8,s6);break;}
case 7:{Show8X16(line,column+8,s7);break;}
case 8:{Show8X16(line,column+8,s8);break;}
case 9:{Show8X16(line,column+8,s9);break;}
}
switch(D_shi)
{
case 0:{Show8X16(line,column,s0);break;}
case 1:{Show8X16(line,column,s1);break;}
case 2:{Show8X16(line,column,s2);break;}
case 3:{Show8X16(line,column,s3);break;}
case 4:{Show8X16(line,column,s4);break;}
case 5:{Show8X16(line,column,s5);break;}
case 6:{Show8X16(line,column,s6);break;}
case 7:{Show8X16(line,column,s7);break;}
case 8:{Show8X16(line,column,s8);break;}
case 9:{Show8X16(line,column,s9);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD2(uch line,uch column,uch data)//帶上劃線
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show8X16_2(line,column+8,s0);break;}
case 1:{Show8X16_2(line,column+8,s1);break;}
case 2:{Show8X16_2(line,column+8,s2);break;}
case 3:{Show8X16_2(line,column+8,s3);break;}
case 4:{Show8X16_2(line,column+8,s4);break;}
case 5:{Show8X16_2(line,column+8,s5);break;}
case 6:{Show8X16_2(line,column+8,s6);break;}
case 7:{Show8X16_2(line,column+8,s7);break;}
case 8:{Show8X16_2(line,column+8,s8);break;}
case 9:{Show8X16_2(line,column+8,s9);break;}
}
switch(D_shi)
{
case 0:{Show8X16_2(line,column,s0);break;}
case 1:{Show8X16_2(line,column,s1);break;}
case 2:{Show8X16_2(line,column,s2);break;}
case 3:{Show8X16_2(line,column,s3);break;}
case 4:{Show8X16_2(line,column,s4);break;}
case 5:{Show8X16_2(line,column,s5);break;}
case 6:{Show8X16_2(line,column,s6);break;}
case 7:{Show8X16_2(line,column,s7);break;}
case 8:{Show8X16_2(line,column,s8);break;}
case 9:{Show8X16_2(line,column,s9);break;}
}
}
/*-----------------------------------------------------------------*/
void ChangeToLCD3(uch line,uch column,uch data)//帶下劃線
{
int D_ge,D_shi;

D_ge=data%10; //取個位
D_shi=data%100/10; //取十位

switch(D_ge)
{
case 0:{Show16X32(line,column+16,ss0);break;}
case 1:{Show16X32(line,column+16,ss1);break;}
case 2:{Show16X32(line,column+16,ss2);break;}
case 3:{Show16X32(line,column+16,ss3);break;}
case 4:{Show16X32(line,column+16,ss4);break;}
case 5:{Show16X32(line,column+16,ss5);break;}
case 6:{Show16X32(line,column+16,ss6);break;}
case 7:{Show16X32(line,column+16,ss7);break;}
case 8:{Show16X32(line,column+16,ss8);break;}
case 9:{Show16X32(line,column+16,ss9);break;}
}
switch(D_shi)
{
case 0:{Show16X32(line,column,ss0);break;}
case 1:{Show16X32(line,column,ss1);break;}
case 2:{Show16X32(line,column,ss2);break;}
case 3:{Show16X32(line,column,ss3);break;}
case 4:{Show16X32(line,column,ss4);break;}
case 5:{Show16X32(line,column,ss5);break;}
case 6:{Show16X32(line,column,ss6);break;}
case 7:{Show16X32(line,column,ss7);break;}
case 8:{Show16X32(line,column,ss8);break;}
case 9:{Show16X32(line,column,ss9);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD4(uch line,uch column,uch data)//星期轉(zhuǎn)換
{
switch(data)
{
case 1:{Show16X16_3(line,column,ri);break;}
case 2:{Show16X16_3(line,column,yi);break;}
case 3:{Show16X16_3(line,column,er);break;}
case 4:{Show16X16_3(line,column,san);break;}
case 5:{Show16X16_3(line,column,si);break;}
case 6:{Show16X16_3(line,column,wu);break;}
case 7:{Show16X16_3(line,column,liu);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD5(uch line,uch column,uch data)//生肖轉(zhuǎn)換
{
data=data%12;
switch(data)
{
case 0:{Show16X16_3(line,column,llong);break;}
case 1:{Show16X16_3(line,column,she);break;}
case 2:{Show16X16_3(line,column,ma);break;}
case 3:{Show16X16_3(line,column,yang);break;}
case 4:{Show16X16_3(line,column,hou);break;}
case 5:{Show16X16_3(line,column,ji);break;}
case 6:{Show16X16_3(line,column,gou);break;}
case 7:{Show16X16_3(line,column,zhu);break;}
case 8:{Show16X16_3(line,column,shu);break;}
case 9:{Show16X16_3(line,column,niu);break;}
case 10:{Show16X16_3(line,column,hu);break;}
case 11:{Show16X16_3(line,column,tu);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD6(uch line,uch column,uch data)//陰歷月轉(zhuǎn)換
{
data=data%12;
switch(data)
{
case 0:{Show16X16_2(line,column,zheng);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
case 3:{Show16X16_2(line,column,si);break;}
case 4:{Show16X16_2(line,column,wu);break;}
case 5:{Show16X16_2(line,column,liu);break;}
case 6:{Show16X16_2(line,column,qqi);break;}
case 7:{Show16X16_2(line,column,ba);break;}
case 8:{Show16X16_2(line,column,jiu);break;}
case 9:{Show16X16_2(line,column,shi);break;}
case 10:{Show16X16_2(line,column,shiyi);break;}
case 11:{Show16X16_2(line,column,la);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD7(uch line,uch column,uch data)//陰歷日
{
data=data%12;
switch(data)
{
case 0:{Show16X16_2(line,column,yi);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
case 3:{Show16X16_2(line,column,si);break;}
case 4:{Show16X16_2(line,column,wu);break;}
case 5:{Show16X16_2(line,column,liu);break;}
case 6:{Show16X16_2(line,column,qqi);break;}
case 7:{Show16X16_2(line,column,ba);break;}
case 8:{Show16X16_2(line,column,jiu);break;}
case 9:{Show16X16_2(line,column,shi);break;}
}
}
/*-----------------------------------------------------------------*/

void ChangeToLCD8(uch line,uch column,uch data)//陰歷日十位
{
data=data%3;
switch(data)
{
case 0:{Show16X16_2(line,column,chu);break;}
case 1:{Show16X16_2(line,column,er);break;}
case 2:{Show16X16_2(line,column,san);break;}
}
}
/*-----------------------------------------------------------------*/

程序結(jié)束

三,總結(jié)

到現(xiàn)在為止,我對KS0108系列液晶的操作已經(jīng)基本掌握了,并可以熟練應(yīng)用.

但是本程序中陽歷轉(zhuǎn)陰歷的程序還是不對,我想不出更好的轉(zhuǎn)換辦法,希望大家能提供方法完善.

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉