當(dāng)前位置:首頁 > 單片機(jī) > 單片機(jī)
[導(dǎo)讀] 工控機(jī)通常要接標(biāo)準(zhǔn)鍵盤,但是為了方便操作,常常需要外接一個(gè)專用鍵盤。此實(shí)例介紹了在工控PC機(jī)到PS/2總線上再接入一個(gè)自制專用鍵盤的應(yīng)用方法。用于工控機(jī)的PS/2鍵盤接口電路 該設(shè)計(jì)應(yīng)能保證兩個(gè)鍵盤單獨(dú)工作,而

 工控機(jī)通常要接標(biāo)準(zhǔn)鍵盤,但是為了方便操作,常常需要外接一個(gè)專用鍵盤。此實(shí)例介紹了在工控PC機(jī)到PS/2總線上再接入一個(gè)自制專用鍵盤的應(yīng)用方法。

用于工控機(jī)的PS/2鍵盤接口電路

該設(shè)計(jì)應(yīng)能保證兩個(gè)鍵盤單獨(dú)工作,而且相互不能影響。因此,不能直接把專用鍵盤和標(biāo)準(zhǔn)鍵盤一起接到工控PC的PS/2口。鑒于這種情況,本設(shè)計(jì)使用模擬開關(guān)CD4052并通過時(shí)分復(fù)用工控PC的PS/2口,來使在同一個(gè)時(shí)刻只有一個(gè)鍵盤有效,從而解決上述問題。其硬件原理圖如圖所示。其中P2口和P1口用于鍵盤掃描電路(圖中未畫出),P0.0為數(shù)據(jù)端,P0.1為時(shí)鐘端,P0.2為模擬開關(guān)選通端。由于專用鍵盤不需要接收工控PC機(jī)的命令,所以軟件中并不需要寫這部分相應(yīng)的代碼。
通過軟件可在專用鍵盤復(fù)位后把P0.2清0,以使模擬開關(guān)CD4052打開相應(yīng)的通道。這時(shí)工控PC的標(biāo)準(zhǔn)鍵盤將開始工作。標(biāo)準(zhǔn)鍵盤可以完成工控PC剛啟動(dòng)時(shí)對(duì)外設(shè)檢測(cè)的應(yīng)答。復(fù)位后的專用鍵盤不停地掃描有沒有按鍵,如果有鍵按下則識(shí)別按鍵,并且按照預(yù)先的設(shè)計(jì)進(jìn)行編碼,同時(shí)調(diào)用發(fā)送程序并通過PS/2口發(fā)送到工控PC。此時(shí)模擬開關(guān)關(guān)閉相應(yīng)通道(將P0.2置1),專用鍵盤接入工控PC PS/2口的時(shí)鐘線和數(shù)據(jù)線而工作,但標(biāo)準(zhǔn)鍵盤被模擬開關(guān)從PS/2的時(shí)鐘線和數(shù)據(jù)線中斷而不工作,這樣,雙鍵盤便可時(shí)分復(fù)用同一個(gè)工控PC機(jī)的PS/2口.相應(yīng)的發(fā)送子程序如下:

1 #define DATA P00 用P0.0做數(shù)據(jù)線

2 #define CLK P01 用P0.1做時(shí)鐘線

3 #define INHIBIT P02 用P0.2做CD4052的INH端

4 #define PORTR P1 用P1口做讀入口

5 #define PORTW P2 用P2口做寫出口 可以實(shí)現(xiàn)64個(gè)自定義鍵

6 void send(uchar x)/***function for send a char da-ta***/

7 {

8 uchar i,temp,char_temp;

9 bit flag_check=1;

10 INHIBIT=1;//disable standard keyboard

11 delay_ ms(3);

12 temp=x;

13 for(i=0;i<8;i++)//find the number of 1 in this uchar x is odd or not

14 {

15 char_temp=temp&0x01;

16 if(char_temp==0x01)

17 {

18 flag_check=!flag_check;

19 }

20 temp=temp>>1;

21 }

22 CLK=1;//send 1 to P1 then read P1

23 while (!CLK) //if CLK is low wait

24 {

25 ;

26 }

27 CLK=1;DATA=1;//send 1 to P1 then read P1

28 if(CLK==1)

29 {

30 delay_us(30);//

31 }

32 if(CLK==1&&DATA==1)//send data

33 {

34 DATA=0;//start bit 0

35 delay_us(10);

36 CLK=0;

37 delay_us(5);//

38 temp=x;

39 for(i=0;i<8;i++)//send 8 bits LSBfirst

40 {

41 CLK=1;

42 delay_us(5);

43 char_temp=temp&0x01;

44 if(char_temp==0x01)

45 {

46 DATA=1;

47 }

48 else

49 {

50 DATA=0;

51 }

52 //DATA=(bit)(temp&0x01);

53 //LSB

54 delay_us(10);

55 CLK=0;

56 delay_us(5);

57 temp=temp>>1;

58 }

59 CLK=1;//send check bit

60 delay_us(5);?

61 DATA=flag_check;

62 delay_us(10);?

63 CLK=0;

64 delay_us(5)

65 CLK=1;//send stop bit

66 delay_us(5);?

67 DATA=1;

68 delay us?10 ?

69 CLK=0?

70 delay_us(5);?

71 CLK=1;

72 delay_us(30);? ?

73 CLK=1;DATA=1;//send 1 to P1 then read P1

74 if(CLK==1&&DATA==0)

75 {

76 return; //pc is sending data to mcu, go to

77 receiving function

78 }

79 INHIBIT=0; //enable standard keyboard

80 }


本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉