當(dāng)前位置:首頁 > 嵌入式 > 嵌入式硬件

摘 要: 綜合考慮面積和速度等因素,采用一次多項(xiàng)式擬合實(shí)現(xiàn)了簡單快速的log-add算法單元。實(shí)驗(yàn)結(jié)果表明,在相同的精度要求下,其FPGA實(shí)現(xiàn)資源占用合理,硬件開銷好于其他次數(shù)的多項(xiàng)式擬合實(shí)現(xiàn)方案。
關(guān)鍵詞: 多項(xiàng)式擬合;log-add算法單元;FPGA實(shí)現(xiàn)

在多路實(shí)時(shí)語音處理系統(tǒng)中,基于高斯混合概率模型[1,2]的系統(tǒng)后端運(yùn)算量非常大,采用log-add算法單元可以簡化運(yùn)算,提高運(yùn)算效率。其函數(shù)形式為[3]:

查表法可以認(rèn)為是多項(xiàng)式次數(shù)為0的情況,隨著精度要求的增加,查找表會變得很大[5]。函數(shù)逼近可以采用多項(xiàng)式擬合,首先根據(jù)所需要的精度確定多項(xiàng)式次數(shù)和分段的大小,然后計(jì)算每一段的多項(xiàng)式系數(shù)。
設(shè)分段的大小為d(d=2-k,k=0,1,2…),計(jì)算各段系數(shù)時(shí),各段函數(shù)平移到區(qū)間[0,d),如圖2所示。用Matlab進(jìn)行多項(xiàng)式擬合依次得到各段系數(shù)。由此可以得出各段的擬合多項(xiàng)式為:

這樣實(shí)現(xiàn)時(shí)可以把二進(jìn)制的定點(diǎn)數(shù)x分為MSBs和LSBs兩段。MSBs對應(yīng)段標(biāo)號i,由段標(biāo)號取出系數(shù)ci0,ci1,ci2…;LSBs對應(yīng)浮點(diǎn)數(shù)xl,代表段內(nèi)偏移值。由圖3可以計(jì)算出f(x)。

MSBs和LSBs應(yīng)該這樣選取,例如定標(biāo)為Q32.f,選擇d=1/2,則MSBs為高32-(f-1)位,LSBs為低f-1位;選擇d=1/4, 則MSBs為高32-(f-2)位,LSBs為低f-2位……;如果MSBs為32或31,則變成了查表法。
2 多項(xiàng)式擬合的實(shí)現(xiàn)方案
2.1 多項(xiàng)式次數(shù)與分段大小、精度的關(guān)系
用Matlab進(jìn)行仿真,表1列出了各種精度要求下各次多項(xiàng)式所需的分段大小(d),其中?啄為精度要求,?茁為多項(xiàng)式的次數(shù)。
由表1可以看出,相同次數(shù)的情況下,精度要求越高,分段大小d越?。欢嗤鹊那闆r下,次數(shù)越高,分段大小d越大。另外,次數(shù)越低,精度越高,分段大小d下降的數(shù)量級越快。



表2列出各次多項(xiàng)式在不同精度要求下,所需要系數(shù)個數(shù)(n)的分布情況。

由表2可以看出,其結(jié)果與表1趨于一致。相同次數(shù)下,精度要求越高,所需要的系數(shù)個數(shù)n越多;而相同精度下,次數(shù)越高,所需要系數(shù)個數(shù)n越少。n隨著次數(shù)的降低和精度的提高迅速增大。
與n相反,多項(xiàng)式的計(jì)算量隨著多項(xiàng)式次數(shù)的增加而增加。根據(jù)horner算法[3]多項(xiàng)式的表達(dá)式如下:

式(6)表明,多項(xiàng)式次數(shù)增加1次,計(jì)算多項(xiàng)式的函數(shù)值增加1次乘法和1次加法。多項(xiàng)式系數(shù)存儲量與多項(xiàng)式的計(jì)算量是其FPGA實(shí)現(xiàn)時(shí)互相制約的兩個因素。
3 仿真結(jié)果
為了取得面積與速度的平衡,根據(jù)測試結(jié)果及實(shí)際系統(tǒng)的要求,選擇δ=10-4、β=1來實(shí)現(xiàn)。本文采用Xilinx ISE Design Suite 10.1進(jìn)行仿真測試。定標(biāo)取Q32.23,其硬件實(shí)現(xiàn)計(jì)算流程如圖4,輸入為定點(diǎn)數(shù)x,由MSBs和LBSs取得系數(shù)和xl,經(jīng)過reg系數(shù)寄存器及1次乘法和1次加法,輸出y。

時(shí)序仿真結(jié)果結(jié)果如圖5。輸入x是32 bit的無符號定點(diǎn)數(shù),輸出為y;clk是時(shí)鐘;reset為復(fù)位信號;MSBs是x的高位,用于得到多項(xiàng)式系數(shù);LSBs是x的低位即自變量;temp是用于緩存中間結(jié)果,coef[...]是多項(xiàng)式系數(shù)。輸出延遲3個時(shí)鐘周期,流水線填滿后,每個時(shí)鐘周期輸出一個結(jié)果。

例如輸入32’h00333333(浮點(diǎn)數(shù)0.4),從圖中可以看出其輸出y為24’h41aba5,與實(shí)際函數(shù)值24’h41aa7c存在誤差。其實(shí)現(xiàn)結(jié)果與浮點(diǎn)結(jié)果比較誤差如圖6??梢钥闯龆c(diǎn)數(shù)誤差在800以內(nèi),也就是浮點(diǎn)數(shù)約10-4以內(nèi),誤差范圍與表1相一致。

使用ISE軟件的XST工具綜合,選擇設(shè)備為Xilinx公司Virtex5系列的XC5VFX100T(speed-2)。其資源占用情況如表3,其中Xilinx公司的乘加硬件設(shè)備DSP48E用于算法中的乘法運(yùn)算及加法運(yùn)算[6]。

可以對比δ=10-4,β=0,1,2,3四種實(shí)現(xiàn)方式的硬件開銷,如表4。

由表4可以看出,雖然多項(xiàng)式次數(shù)為0時(shí)使用寄存器(Registers)和查找表(LUTs)最少,且乘法和加法次數(shù)(DSP48Es)為0,但由于其使用了24×40 960 ROM,占用存儲面積較大;而一次多項(xiàng)式擬合雖然所占用查找表(LUTs)一項(xiàng)相對較多,但綜合考慮,其他資源占用都比較均衡。其整體的資源開銷要好于其他方案。
log-add算法單元作為高斯混合概率模型FPGA實(shí)現(xiàn)的基本算法單元,能夠簡化運(yùn)算、提高運(yùn)算效率。在系統(tǒng)精度要求10-4的情況下,采用一次多項(xiàng)式擬合能夠有效地節(jié)省硬件開銷,實(shí)現(xiàn)簡單快速log-add算法單元,為大規(guī)模實(shí)時(shí)處理多路語音數(shù)據(jù)提供了重要保證。
參考文獻(xiàn)
[1] Douglas A.Reynolds,THOMAS E.Quatieri,Robert B.Dunn. Speaker verification using adapted gaussian mixture models[J].Digital Signal Processing,2000(10).
[2] Kazuo Miura,Hiroki Noguchi,Hiroshi Kawaguchi,et al.A low memory bandwidth gaussian mixture model(GMM) processor for 20,000-word real-time speech recognition FPGA system[J].ICECE Technology,2008.FPT.2008.
[3] MELNIKOFF S J,F(xiàn)QUIGLEY S.Implementing the Log-add Algorithm in Hardware[J].Electronics Letters,2003.
[4] LEE B R,BURGESS N.A pallrallel Look-up logarithmic number system addition subtraction scheme for FPGA[J]. Proc.FPT,2003.
[5] 李煒,沈緒榜.對數(shù)數(shù)值系統(tǒng)的研究[J].微電子學(xué)與計(jì)算機(jī),2004.
[6] 胡彬.Xilinx ISE Design Suite 10.x FPGA開發(fā)指南—邏輯設(shè)計(jì)篇[M].北京:人民郵電出版社,2008.

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉