當(dāng)前位置:首頁 > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]摘要:文中采用Quartus II開發(fā)平臺(tái),基于可編程邏輯器件CPLD設(shè)計(jì)出多波形信號(hào)發(fā)生器,可輸出頻率、幅度可調(diào)的三角波、正弦波和方波。任意波形模塊可由用戶輸出用戶所需的特殊波形,滿足了教學(xué)實(shí)驗(yàn)和開發(fā)新的實(shí)驗(yàn)項(xiàng)目

摘要:文中采用Quartus II開發(fā)平臺(tái),基于可編程邏輯器件CPLD設(shè)計(jì)出多波形信號(hào)發(fā)生器,可輸出頻率、幅度可調(diào)的三角波、正弦波和方波。任意波形模塊可由用戶輸出用戶所需的特殊波形,滿足了教學(xué)實(shí)驗(yàn)和開發(fā)新的實(shí)驗(yàn)項(xiàng)目對(duì)特殊波形的要求。整個(gè)設(shè)計(jì)采用VHDL編程實(shí)
現(xiàn),其設(shè)計(jì)過程簡(jiǎn)單,極易修改,可移植性強(qiáng)。另外,CPLD還具有可編程重置特性,因而可以方便地更換波形數(shù)據(jù),且簡(jiǎn)單易行。
關(guān)鍵詞:信號(hào)發(fā)生器:CPLD;D/A轉(zhuǎn)換

    CPLD復(fù)雜可編程邏輯器件,是從PAL和GAL器件發(fā)展出來的器件,相對(duì)而言規(guī)模大,結(jié)構(gòu)復(fù)雜,屬于大規(guī)模集成電路范圍,是一種用戶可根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。各種波形曲線均可以用三角函數(shù)方程式來表示,能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱為函數(shù)信號(hào)發(fā)生器。函數(shù)信號(hào)發(fā)生器在電路實(shí)驗(yàn)和設(shè)備檢測(cè)中具有十分廣泛的用途。

1 系統(tǒng)設(shè)計(jì)
1.1 方案比較
    方案1:直接頻率合成法
    直接頻率合成技術(shù)即為用多個(gè)晶體分別產(chǎn)生不同頻率的振蕩信號(hào),再經(jīng)過具有四則運(yùn)算功能的混頻器、倍頻器、分頻器和不同頻率的濾波器組合而成,用以實(shí)現(xiàn)特定頻率信號(hào)的產(chǎn)生輸出。
    優(yōu)點(diǎn):頻率轉(zhuǎn)換時(shí)間短,理論上可產(chǎn)生任意小的頻率間隔。
    缺點(diǎn):由于其利用晶體產(chǎn)生的信號(hào)經(jīng)過運(yùn)算而成,所以其頻率間隔不能太多;由于采用了大量的倍頻器、分頻器以及混頻器,所以其輸出的波形信號(hào)附帶的噪聲較大;并且設(shè)備龐大,制造成本較高。
    方案2:鎖相頻率合成
    利用鎖相環(huán)的良好的窄帶跟蹤性能,可使頻率準(zhǔn)確的鎖定在參考頻率或其N次諧波上,并使被鎖定頻率具有與參考頻率一致的頻率穩(wěn)定度和較高的頻率純度。它由基準(zhǔn)頻率產(chǎn)生器和鎖相環(huán)路兩部分組成。
    優(yōu)點(diǎn):結(jié)構(gòu)簡(jiǎn)單,輸出頻率純度高,易于得到大量的離散頻率。
    缺點(diǎn):瞬時(shí)頻率穩(wěn)定度較差,頻率轉(zhuǎn)換時(shí)間較長(zhǎng)。
    方案3:直接數(shù)字頻率合成技術(shù)(DDS)
    直接數(shù)字頻率合成技術(shù)完全擺脫了傳統(tǒng)的頻率合成技術(shù)的思路,即是通過對(duì)相位的運(yùn)算來進(jìn)行頻率合成的,它的主要理論依據(jù)是Nyquist抽樣定理對(duì)模擬信號(hào)進(jìn)行采樣,經(jīng)量化后存入存儲(chǔ)器中,再通過尋址查找表輸出波形數(shù)據(jù),經(jīng)DAC及濾波后恢復(fù)原波形來實(shí)現(xiàn)頻率合成的。
    優(yōu)點(diǎn):利用DDS頻率合成技術(shù)的器件功耗低,體積小,其工作頻率范圍寬,頻率分辨率極高,頻率轉(zhuǎn)換時(shí)間極短。
    缺點(diǎn):由于受限于器件可用的最高時(shí)鐘頻率,輸出頻率上限不能太高;由相位量化噪聲和幅度量化噪聲所形成的總輸出噪聲電平很高。
1.2 方案選擇
    以上三種頻率合成技術(shù)是現(xiàn)代頻率合成技術(shù)的基礎(chǔ),在性能上各有特點(diǎn),相互之間起到了很好的互補(bǔ)作用。本文綜合三種技術(shù)采用的方案為:用CPLD開發(fā)板結(jié)合D/A芯片輸出模擬信號(hào),實(shí)現(xiàn)信號(hào)發(fā)生器功能。作為信號(hào)發(fā)生器時(shí),能夠產(chǎn)生三角波、正弦波和方波三種不同的波形,并且可以通過開發(fā)板上的按鍵來控制頻率和波幅的調(diào)節(jié)。
1.3 方案的總體框圖
    圖1所示為系統(tǒng)的整體框圖。圖1中,控制模塊包括三個(gè)部分:外界輸入、命令分析和輸出指令。信號(hào)發(fā)生器模塊也包括指令輸入、選擇波形產(chǎn)生和輸出序列三個(gè)部分,其中在選擇波形產(chǎn)生中決定選擇波形的程序以及確定頻率和幅度的大小。



2 各模塊功能和實(shí)現(xiàn)
2.1 交互模塊
    交互模塊的主要功能是按鍵輸入,交互模塊接口電路圖如圖2所示。


2.2 控制模塊
    控制模塊的主要功能是接受按鍵信號(hào),控制各模塊輸出數(shù)據(jù)格式其接口電路圖如圖3所示。
2.3 信號(hào)發(fā)生器模塊
    該模塊實(shí)現(xiàn)信號(hào)發(fā)生器功能,接受控制模塊數(shù)據(jù),處理并輸出給D/A芯片,信號(hào)發(fā)生器模塊接口電路圖如圖4所示。


    數(shù)據(jù)處理模塊將從控制模塊接受到的數(shù)據(jù)轉(zhuǎn)化成波形、頻率、波幅信息,并將處理后的數(shù)據(jù)轉(zhuǎn)交給數(shù)據(jù)輸出模塊。
2.4 顯示模塊
    SPI是串行外圍設(shè)備接口,SPI接口主要應(yīng)用在EEPROM、FLASH、實(shí)時(shí)時(shí)鐘、AD轉(zhuǎn)換器,還有數(shù)字信號(hào)處理器和數(shù)字信號(hào)解碼器之間。Spi接口模塊電路圖如圖5所示。



3 結(jié)束語
    本文介紹了用CPLD開發(fā)板結(jié)合D/A芯片輸出模擬信號(hào),實(shí)現(xiàn)了信號(hào)發(fā)生器功能。作為信號(hào)發(fā)生器時(shí),能夠產(chǎn)生三角波、正弦波、鋸齒波和方波四種不同的波形,并可以通過開發(fā)板上的按鍵來控制頻率和波幅的調(diào)節(jié)。本設(shè)計(jì)通過VGA顯示,用戶界面友好,操作便捷,有較強(qiáng)的實(shí)用性。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉