當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]SPI(SerialPeripheralInterface,串行外圍設(shè)備接口)是一種高速、全雙工、同步的通信總線,在芯片的引腳上只占用4根線,不僅節(jié)約了芯片的引腳,同時(shí)在PCB的布局上還節(jié)省空間。正是出于這種簡(jiǎn)單、易用的特性,現(xiàn)在越來(lái)

SPI(SerialPeripheralInterface,串行外圍設(shè)備接口)是一種高速、全雙工、同步的通信總線,在芯片的引腳上只占用4根線,不僅節(jié)約了芯片的引腳,同時(shí)在PCB的布局上還節(jié)省空間。正是出于這種簡(jiǎn)單、易用的特性,現(xiàn)在越來(lái)越多的芯片集成了這種通信協(xié)議。

1SPI配置介紹

1.1Spantan-3ESPI配置流程

SPI方式是通過(guò)符合SPI接口時(shí)序的第三方SPIFlash對(duì)FPGA進(jìn)行加載。它適合作為FPGA硬件結(jié)構(gòu)的bit文件保存介質(zhì),如果應(yīng)用軟件工程編譯后的代碼較小,保存在同一片SPIFLash中(即復(fù)用SPIFlash)無(wú)疑是可行的最廉價(jià)方案。

由于本沒(méi)計(jì)軟件工程規(guī)模較小,所以利用此復(fù)用SPIFlash方式對(duì)FPGA進(jìn)行配置,既保存FPGA配置的bit文件,也保存應(yīng)用軟件工程的bit文件。系統(tǒng)在上電或向PROG_B引腳發(fā)出低脈沖后,F(xiàn)PGA芯片經(jīng)過(guò)一個(gè)初始化序列清空內(nèi)部FPGA配置存儲(chǔ)器。此序列開(kāi)始時(shí),DONE和INIT_B引腳均轉(zhuǎn)為低。初始化完成后,INIT_B引腳轉(zhuǎn)為高,并采樣芯片的配置模式及變量選擇引腳。

SPI模式下,F(xiàn)PGA對(duì)變量選擇(VS[2:0])引腳采樣,以確定發(fā)出哪個(gè)SPI命令序列。當(dāng)初始化之后發(fā)出INIT_B信號(hào)時(shí),模式引腳和變量選擇引腳都必須處在正確的邏輯級(jí),以確保正確采樣。

在變量選擇引腳選擇SPI命令集之后,F(xiàn)PGA將CSO_B選擇信號(hào)置為低,并且開(kāi)始通過(guò)FPGA的CCLK引腳對(duì)SPIFlash存儲(chǔ)器進(jìn)行時(shí)鐘控制。接著發(fā)出8位讀命令后跟24位起始地址0x000000和目標(biāo)命令集的適量虛擬字節(jié)。FPGA從地址0開(kāi)始讀取SPIFlash存儲(chǔ)器陣列,直到讀完所需的配置位數(shù)。如果從存儲(chǔ)器件讀取到有效比特流,則發(fā)DONE信號(hào),以指示FPGA配置成功。圖1為SPI配置方式的時(shí)序。

圖2是AT45DB161DSPIFlash的配置接口。這種配置方式只占用了FPGA芯片的4個(gè)引腳,而且配置成功之后,所有SPI引腳都成為可用的用戶I/O引腳,這就節(jié)省了FPGA的引腳資源。


1.2SPIFlash存儲(chǔ)器的復(fù)用

復(fù)用SPIFlash是指既用它來(lái)保存硬件配置文件、Bootloader引導(dǎo)程序還用來(lái)保存用戶應(yīng)用程序。在加載階段,F(xiàn)PGA自動(dòng)從SPIFlash中讀取硬件配置bit文件及Bootloader程序進(jìn)行配置到片內(nèi)BRAM中運(yùn)行。當(dāng)完成加載后,F(xiàn)PGA內(nèi)部邏輯啟動(dòng),通過(guò)運(yùn)行的Bootloader程序讀取SPIFlash中的用戶應(yīng)用程序,并寫(xiě)到外部SDRAM的相應(yīng)位置,最后Bootloader程序切換指令指針到SDRAM指定位置,在外部的SDRAM中開(kāi)始執(zhí)行應(yīng)用程序。

圖3給出了本系統(tǒng)中復(fù)用SPIFlash嵌入式系統(tǒng)結(jié)構(gòu)圖,用EDK中的opb_sdram連接外部SDRAM,用opb_spi連接SPIFlash(AT45DB161D),通過(guò)Bootloader軟件程序?qū)崿F(xiàn)從SPIFlash中復(fù)制用戶應(yīng)用程序到SDRAM中,然后在SDRAM中運(yùn)行。但是,Boot-loader在系統(tǒng)上電時(shí)會(huì)通過(guò)FP-GA芯片的配置引腳首先加載到BRAM中運(yùn)行,這樣就可以實(shí)現(xiàn)上電自動(dòng)加載啟動(dòng)程序。


2Bootloader引導(dǎo)程序的設(shè)計(jì)

在工程代碼編寫(xiě)之前要求對(duì)硬件器件有所了解,主要需要了解FPGA所需要的配置文件空間,還有Flash存儲(chǔ)結(jié)構(gòu)。例如:XC3S500E配置文件空間為2270208位,所以要根據(jù)它計(jì)算存儲(chǔ)應(yīng)用程序的基地址。AT45DB161D是串行接口的閃存芯片,它包含有17301504位,被組織為4096頁(yè),每頁(yè)512/528字節(jié)。除了主存儲(chǔ)器,AT45DB161D還包括2個(gè)SRAM數(shù)據(jù)緩沖區(qū),每個(gè)緩沖區(qū)512/528字節(jié)。在主存儲(chǔ)器正在編程時(shí),緩沖區(qū)是允許接收數(shù)據(jù)的,并且支持?jǐn)?shù)據(jù)流式寫(xiě)入。(此處為528字節(jié)/頁(yè))

AT45DB161D的存儲(chǔ)器陣列分為3個(gè)級(jí)別的粒度,分別為扇區(qū)、塊與頁(yè)。圖4對(duì)各個(gè)級(jí)別進(jìn)行了分析,詳細(xì)說(shuō)明了每個(gè)扇區(qū)與塊的頁(yè)數(shù)。所有的編程操作都是針對(duì)頁(yè)的。擦除操作可以作用于芯片、扇區(qū)、塊或頁(yè)。

最后利用定義的空函數(shù)int(*boot_app_jump)(void);”將地址指針指向內(nèi)存的應(yīng)用程序基地址,使其從此處開(kāi)始運(yùn)行程序。

//將目的地址賦給跳轉(zhuǎn)函數(shù)
boot_app_jump=(int(*)(void))DESTINATION_AD-DR;
//運(yùn)行跳轉(zhuǎn)函數(shù),使其在該函數(shù)地址開(kāi)始運(yùn)行程序boot_app_jump();

3SPIFlash軟件引導(dǎo)過(guò)程及SPIFlash編程

本實(shí)驗(yàn)使用簡(jiǎn)單的應(yīng)用程序(打印hello_world),即工程“hello_world”。工程serial_Flash_bootloader就是上面設(shè)計(jì)的引導(dǎo)程序。

3.1編譯用戶應(yīng)用程序的二進(jìn)制文件

由于應(yīng)用程序要在外部SDRAM中運(yùn)行,所以不需要初始化BRAM存儲(chǔ)器,如圖5所示。

為了指明程序的開(kāi)始地址和應(yīng)用程序的可執(zhí)行文件的產(chǎn)生路徑,需要在編譯選項(xiàng)中設(shè)置。右鍵“應(yīng)用程序工程”,SetCompilerOptions在OutputELFfile中選擇可執(zhí)行文件的產(chǎn)生路徑,如XC3S500E\hello_world\hello_world.elf,在ProgramStartAddress中鍵入程序執(zhí)行的起始地址(這里是SDRAM的基地址:0x90000000)。接下來(lái)編譯應(yīng)用程序工程,編譯完成后就會(huì)在XC3S500E\hello_world文件夾中產(chǎn)生hello_world.elf。為了后面對(duì)SPIFlash編程的需要,應(yīng)將elf轉(zhuǎn)變成二進(jìn)制形式的文件。這就需要利用cygwinshell窗口命令來(lái)完成,這個(gè)腳本提供了一個(gè)簡(jiǎn)單的命令實(shí)現(xiàn)這個(gè)目的。利用mb-objcopy-Obinary<options><ELFfileinput><bi-naryfiletooutput>命令就可以將elf轉(zhuǎn)變成二進(jìn)制形式的文件(.b文件)。

例如:mb-objcopy-Obinary./helloworld/helloworld.elf./hello_world/hello_world.b用來(lái)在工程目錄下hello_world文件夾創(chuàng)建工程的一個(gè)hello_world.b的二進(jìn)制文件。生成的文件hello_world.b大約2KB左右。

3.2Bootloader引導(dǎo)程序與硬件配置文件的生成

serial_Flash_bootloader要初始化到BRAM中(即在“工程”上右鍵→BRAMInitializationandunmarka11)。

這樣做的意義是在編譯Bootloader程序時(shí)就將它編譯后的執(zhí)行文件(.elf文件)加入到硬件system.bit中生成一個(gè)download.bit。這個(gè)文件既包含了系統(tǒng)硬件配置信息,又包括了Bootloader程序執(zhí)行文件。由于設(shè)置了初始化到BRAM中,所以在系統(tǒng)上電時(shí)才能使Bootloader程序自動(dòng)加載到片內(nèi)BRAM中運(yùn)行,實(shí)現(xiàn)程序的引導(dǎo)功能。只要利用EDK用軟件中downloadbitstram功能就可以實(shí)現(xiàn)上述功能。

3.3編寫(xiě)SPIPROM文件

本部分提供為SPIFlash存儲(chǔ)器創(chuàng)建PROM文件的指導(dǎo)原則。在將生成bitstram.bit比特流轉(zhuǎn)換成SPI格式PROM文件之前,設(shè)計(jì)人員必須確認(rèn)該比特流是用bitg-en-gStartupClk:Cclk選項(xiàng)生成的。此選項(xiàng)使啟動(dòng)順序與Spartan-3E內(nèi)部時(shí)鐘同步,從而確保FPGA功能正常。

①將硬件配置和serial_Flash_bootloader引導(dǎo)程序的bitstrem.bit轉(zhuǎn)換為MCS格式文件。
②將前面得到的應(yīng)用程序的二進(jìn)制文件(hello_world.b)轉(zhuǎn)換為MCS格式文件。
③將以上得到了2個(gè)MCS文件合成1個(gè)MCS文件。
④編程SPIFlash芯片。

以上過(guò)程,除了③以外,Xilinx公司的iMPACT編程軟件都可以實(shí)現(xiàn)。③要由DOS命令完成。所以下面都是以DOS命令來(lái)完成編程的全過(guò)程。

再使用DOS命令完成格式化和編程之前,要對(duì)down-load.bit、應(yīng)用程序、spiPartNam和spi_offset參數(shù)進(jìn)行設(shè)置,以便XSPI軟件程序能對(duì)用戶要求加以識(shí)別。如下:
setbitstream=../implementation/download.bit
setapplication=hello_world
setspiPartName=AT45DB161D//SPIFlash器件的名稱
setspi_offset=0x63000//hello_world的應(yīng)用程序就會(huì)從
//Flash中0x63000地址向上存放,引導(dǎo)程序也是從這里開(kāi)始加載
//到SDRAM中的

接下來(lái)就是執(zhí)行轉(zhuǎn)換的命令。

第1步:REMStep1.Convertdownload.bittomcsimpactconvert_bits_to_mcs.cmd

此命令將硬件配置和serial_Flash_bootloader引導(dǎo)程序的bitstrem.bit轉(zhuǎn)換為MCS格式文件。

第2步:REMStep2.Convertbinaryapplicationtomcs

xmcsutil-accept_notice-i%application%.b-o%appli-cation%.mcs-29

完成了應(yīng)用程序(hello_world)的二進(jìn)制文件(hello_world.b)轉(zhuǎn)換為MCS格式文件。

第3步:REMStep3.combineapplicationmcswithbitstreammcs

xmcsutil-accept_notice-ibitstream.mcs%applica-tion%.mcs-ocombined.mcs-16-segaddr0x00%spi_off-set%-usedataaddr-padff

這個(gè)命令將以上得到了的MCS文件合成一個(gè)MCS文件。

第4步:REMStep4.ProgramtheAT45DB161D

xip-accept_notice-skip_syncword_check-mcs-spi_epv-icombined.mcs-overify.txt-select_cable1

完成對(duì)SPIFlash芯片編程。此命令使用輪詢擦除SPI內(nèi)容,然后對(duì)SPI器件的內(nèi)容進(jìn)行編程和驗(yàn)證。任何驗(yàn)證不匹配項(xiàng)都記錄在result.out文件中。默認(rèn)情況下,XSPI認(rèn)定數(shù)據(jù)是HEX格式。如果輸入文件是HEX格式,則去除-mcs選項(xiàng)。

Xilinx軟件工具iMPACT從Spartan-3E比特流生成SPI格式PROM文件。SPI存儲(chǔ)器件首先串行輸出數(shù)據(jù)的MSB字節(jié),而XilinxPROM則首先輸出數(shù)據(jù)的LSB。與標(biāo)準(zhǔn)XilinxPROM文件相比,SPI格式PROM文件在每字節(jié)內(nèi)有位反轉(zhuǎn),因此,需要在PROMgen中使用-spi選項(xiàng)才能正確格式化。XSPI支持.hex和.mcs兩種SPIPROM文件格式。以下所示為生成SPI格式.mcs文件的PROMGen命令行操作的示例。要生成SPI格式PROM文件.hex,請(qǐng)用-Phex替換-pmcs選項(xiàng)開(kāi)關(guān)。

結(jié)語(yǔ)

本文介紹的是SPIFlash存儲(chǔ)器的復(fù)用編程方法的實(shí)現(xiàn)。在應(yīng)用程序不是很大時(shí),可以使用此方法復(fù)用SPIFlash存儲(chǔ)器,減少外圍電路,但是配置時(shí)間較長(zhǎng)。在不要求配置時(shí)間的基礎(chǔ)上,可以考慮使用SPI配置模式。

 

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉