當(dāng)前位置:首頁 > 單片機 > 單片機
[導(dǎo)讀] 摘要:傳統(tǒng)的多路同步信號源常采用單片機搭載多片專用DDS芯片配合實現(xiàn)。該技術(shù)實現(xiàn)復(fù)雜,且在要求各路同步相干可控時難以實現(xiàn)。本文在介紹了DDS原理的基礎(chǔ)上,給出了用Verilog_HDL語言實現(xiàn)相干多路DDS的工作原理、設(shè)

 摘要:傳統(tǒng)的多路同步信號源常采用單片機搭載多片專用DDS芯片配合實現(xiàn)。該技術(shù)實現(xiàn)復(fù)雜,且在要求各路同步相干可控時難以實現(xiàn)。本文在介紹了DDS原理的基礎(chǔ)上,給出了用Verilog_HDL語言實現(xiàn)相干多路DDS的工作原理、設(shè)計思路、電路結(jié)構(gòu)。利用Modelsim仿真驗證了該設(shè)計的正確性,本設(shè)計具有調(diào)相方便,相位連續(xù),頻率穩(wěn)定度高等優(yōu)點。

關(guān)鍵詞:DDS;現(xiàn)場可編程門陣列(FPGA);相位累加器;Verilog_HDL

實現(xiàn)信號源的多路同步輸出且各路間擁有固定的相位關(guān)系,在雷達、通信等多領(lǐng)域有著重要的應(yīng)用。為了實現(xiàn)此功能,大多數(shù)設(shè)計是利用單片機控制多個專用DDS芯片,實現(xiàn)多信號同步輸出,如圖1所示。但腱由于采用分立的專用DDS芯片,各芯片參數(shù)很難做到完全相同,參數(shù)的差異會造成輸出信號頻率和相位不同。因此,盡管各DDS芯片采用同一頻率字,各個輸出信號頻率也難以完全相同。同樣,由于參數(shù)的不一致,波形之間的相位也難以做到同步準確可調(diào),更重要的是各個信號通道間頻率差異的累積效應(yīng)可能會導(dǎo)致同步失敗。由于這些原因,要實現(xiàn)多路同步輸出且各路信號間成相干關(guān)系必須采用另外的系統(tǒng)。本文給出了一種基于FPGA的3路相干信號源設(shè)計方案。

1 DDS基本原理

DDS(Direct Digital Synthesizer)的實質(zhì)足對相位進行可控等問隔的采樣。一個DDS信號發(fā)生器是由:相位累加器、正弦查找表(波形Rom表)、數(shù)模轉(zhuǎn)換器以及模擬低通濾波器(LPF)組成,原理框圖如圖2所示。

DDS系統(tǒng)的核心是相位累加器。相位累加器在參考時鐘信號的控制下產(chǎn)生讀取Rom表數(shù)據(jù)的地址值,隨后通過查表變換,讀出相應(yīng)地址的信號波形的數(shù)字幅度值序列,再由數(shù)模變換器將代表波形幅度的數(shù)字序列轉(zhuǎn)化為模擬電平,最后經(jīng)由低通濾波器濾除高頻分量使波形平滑。

2 基于FPGA的3路同步輸出DDS工作原理

3路同步相干DDS信號源工作原理如圖3所示。

所有的部分都在統(tǒng)一時鐘的控制下保證了各路信號的同步輸出;各頻率輸入為同一控制字,使得各信號間有很好的同頻性;不同的相位輸入決定了各路間固定的相位差,滿足了相干性要求。在FPGA里面做到的是D/A轉(zhuǎn)換器之前的部分。3路信號結(jié)構(gòu)相同,每一路的電路結(jié)構(gòu)如圖4所示。

3 電路設(shè)計

3.1 電路總體接口

本系統(tǒng)采用Verilog語言編程,綜合工具為Quartusii,仿真工具為Modelsim。

電路接口有clk,rst_n,K1,K2,K<3,phase1,phase2,phase3,d_out1,d_out2,d_out3,其中clk為系統(tǒng)時鐘,rst_n為復(fù)位信號低電平異步復(fù)位,K1,K2,K3為頻率控制字輸入(本系統(tǒng)為同一輸入),phase1,phase2,phase3為3路相位輸入,d_out1,d_out2,d_out3為3路輸出。

3.2 源程序代碼代碼

頂層模塊代碼如下所示,

頂層模塊的RTL Viewer如圖5所示。

相位累加器模塊代碼如下所示。

波形ROM表利用Quartus ii軟件的插件管理程序Megawizard plug-in manager獲得,對于波形數(shù)據(jù)mif文件的獲得這里使用了專用軟件Guagle_Wave。

4 仿真與分析

仿真測試文件代碼如下。

3路相干輸出DDS的仿真結(jié)果如圖6所示。途中豎線為相位跳變處,從此之后各路信號保持了良好的相干性,由此可見滿足了設(shè)計要求。本設(shè)計只需改變各路的相位控制字就可實現(xiàn)各路之間的相位調(diào)整。本設(shè)計運用Vefilog語言結(jié)合DDS原理和FPGA器件,實現(xiàn)了多路相干信號源設(shè)計,相位連續(xù)可調(diào),且易于調(diào)節(jié)。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉