當前位置:首頁 > 公眾號精選 > 嵌入式客棧
[導讀]1. 案例說明 (1) PL端接入CameraLink相機,通過Base模式采集圖像(1280*1024),然后通過VDMA緩存到PS端DDR。 (2)?使用AXI4-Stream Switch IP核將圖像復分成兩路,一路用于邊緣檢測處理(Sobel算法),另一路直接回顯。 (3)?利用Video Mixer IP核將圖像疊加,

1.

案例說明

(1) PL端接入CameraLink相機,通過Base模式采集圖像(1280*1024),然后通過VDMA緩存到PS端DDR。

(2) 使用AXI4-Stream Switch IP核將圖像復分成兩路,一路用于邊緣檢測處理(Sobel算法),另一路直接回顯。

(3) 利用Video Mixer IP核將圖像疊加,通過HDMI輸出原始圖像或者算法處理后的圖像。

      本案例支持CameraLink Base/Full模式、彩色/黑白相機。 

此開發(fā)詳解基于創(chuàng)龍ZYNQ Z-7045/Z-7100評估板TLZ7xH-EVM展開。

 


TLZ7xH-EVM評估板

2.

案例框圖

3.

申請IP核license

本開發(fā)案例使用的Video Mixer和Chroma Resampler IP核,需要到官網(wǎng)下載IP核免費license,否則將無法通過TcL腳本生成Vivado工程。

參照創(chuàng)龍TLZ7xH-EVM評估板(ZYNQ Z-7045/Z-7100)用戶手冊《Xilinx Vivado 2017.4及License安裝教程》文檔,導入IP核。

成功導入后,點擊View License Status可以查看新添加的IP核license如下圖。

4.

Vivado工程說明

參照創(chuàng)龍TLZ7xH-EVM評估板(ZYNQ Z-7045/Z-7100)《基于TcL腳本生成Vivado工程及編譯》文檔,使用TcL腳本生成Vivado工程。   

(1)生成評估板TLZ7xH-EVM、ZYNQ型號為xc7z100的Vivado工程:

Vivado# vivado -mode batch -source tl_cameralink_edge_display_project.tcl  -tclargs tlz7xh-evm  xc7z100ffg900-2 

(2)生成評估板TLZ7xH-EVM、ZYNQ型號為xc7z045的Vivado工程:

Vivado# vivado -mode batch -source tl_cameralink_display_project.tcl  -tclargs tlz7xh-evm  xc7z045ffg900-2

進入Vivado工程所在的runs路徑,雙擊.xpr文件打開工程。

點擊"IP INTEGRATOR -> Open Block Design",打開Vivado工程如下圖所示。

Base模式

點擊Address Editor選項,可以看到IP核分配的地址,在PS端可以通過配置這些地址(寄存器)來控制IP核。

5.

IP核與模塊簡介

lvds_n_x_1to7_sdr_rx模塊

源碼路徑:

hw\src\hdl\lvds_n_x_1to7_sdr_rx.v

模塊實現(xiàn)了將差分輸入數(shù)據(jù)轉(zhuǎn)化成并行數(shù)據(jù),參考時鐘delay_refclk_in需接200MHz或者300MHz,本例程使用PS端的200MHz的FCLK1。雙擊打開配置界面。參數(shù)N表示通道數(shù),X表示每個通道的數(shù)據(jù)差分對數(shù)量。

根據(jù)CameraLink V2.0標準:

  • Lite/Base模式:單通道,每通道數(shù)據(jù)差分對為4對,需要1個連接器。

  • Medium模式:雙通道,每通道數(shù)據(jù)差分對為4對,需要2個連接器。

  • Full/80bit模式:三通道,每通道數(shù)據(jù)差分對為4對,需要2個連接器。

配置N=1表示使用Base模式,N=2為Medium模式,N=3為Full模式。X固定為4。更詳細的說明請查閱文檔xapp585-lvds-source-synch-serdes-clock-multiplication.pdf。

cameralink_bit_allocation_rx模塊

源碼路徑:

hw\src\hdl\cameralink_bit_allocation_rx.v

功能:將lvds_n_x_1to7_sdr_rx模塊Serdes串并轉(zhuǎn)換出來的數(shù)據(jù)進行重組,分離出行同步信號、場同步型號、數(shù)據(jù)有效信號和每個像素數(shù)據(jù)。參數(shù)N表示通道數(shù)量和lvds_n_x_1to7_sdr_rx模塊功能一致。

  • N=1,Base模式,輸出端PortA、PortB、PortC有效。

  • N=2,Meduim模式,輸出端PortA、PortB、PortC、PortD、PortE、PortF有效。

  • N=3,F(xiàn)ull模式,輸出端PortA、PortB、PortC、PortD、PortE、PortF、PortG、PortH有效。

關(guān)鍵代碼解釋:

(1) data_in的數(shù)據(jù)排列格式(lvds_n_x_1to7_sdr_rx模塊串轉(zhuǎn)并后的數(shù)據(jù))。

lvds_n_x_1to7_sdr_rx模塊將每一對數(shù)據(jù)差分對轉(zhuǎn)換后的數(shù)據(jù)如下(XAPP585文檔):

CameraLink(LVDS視頻)協(xié)議標準如下圖所示。

結(jié)合CameraLink(LVDS視頻)協(xié)議標準,得出4對數(shù)據(jù)通道轉(zhuǎn)換后的數(shù)據(jù)在data_in數(shù)據(jù)的排列順序如下。


(2) 分離出行場同步信號、數(shù)據(jù)有效信號和像素數(shù)據(jù)。

根據(jù)CameraLink協(xié)議:

  • RX24對應(yīng)行有效信號LVAL(行同步信號)。

  • RX25對應(yīng)幀有效信號FVAL(場同步信號)。

  • RX26對應(yīng)數(shù)據(jù)有效信號DVAL。

其他對應(yīng)關(guān)系如下圖:

因此,根據(jù)上圖即可將各信號分離出來。

Video In to AXI4-Stream IP核

Video In to AXI4-Stream IP核配置為Mono/Sensor視頻格式Base模式2 pixels per clk,每個色彩數(shù)據(jù)位寬為8bits。關(guān)于IP核的詳細技術(shù)說明可以查看《pg043_v_vid_in_axi4s.pdf》文檔。

VDMA(AXI Video Direct Memory Access) IP核

VDMA IP核技術(shù)說明文檔為《pg020_axi_vdma.pdf》。S2MM是將視頻流傳到DDR中,MM2S是從DDR中把圖像數(shù)據(jù)傳輸出去。配置如下圖所示。

配置VDMA為讀寫跟隨模式。

AXI4-Stream Subset Converter IP核

實現(xiàn)功能:Y轉(zhuǎn)RGB。

AXI4-Stream Switch IP核

實現(xiàn)功能:將圖像復分成兩路,一路用于邊緣檢測處理(Sobel算法),另一路直接回顯。

YCrCb to RGB Color-Space Converter IP核

實現(xiàn)功能:RGB轉(zhuǎn)YCrCb444。

Chrome Resampler IP核

實現(xiàn)功能:YCbCr444轉(zhuǎn)YCbCr422,輸入24位YCbCr444數(shù)據(jù),輸出16位YCbCr422數(shù)據(jù)。

Image_filter IP核

Image_filter IP核源碼是基于Xilinx的xapp1167的Sobel邊緣檢測算法例程,對應(yīng)的HLS源碼在FPGA-HLS-demos目錄下,技術(shù)說明文檔為《ug925-zynq-zc702-base-trd.pdf》。

《ug925-zynq-zc702-base-trd.pdf》文檔附錄A:Register Description -> Sobel Filter Registers小節(jié)有相關(guān)寄存器說明。

  • 寄存器0x00:控制和狀態(tài)寄存器,可控制IP核的停止和啟動

  • 寄存器0x14:設(shè)置圖像的行數(shù)(最大支持1920x1080)

  • 寄存器0x1c:設(shè)置圖像的列數(shù)

  • 寄存器0xb4:Sobel濾波的高閾值

  • 寄存器0xbc:Sobel濾波的低閾值

  • 寄存器0xc4:反轉(zhuǎn)Sobel濾波器的輸出(黑白反轉(zhuǎn))


寄存器的定義也可以可查看以下文件:ip_package/xilinx_com_hls_image_filter_1_0/drivers/image_filter_v1_0/src/ximage_filter_hw.h

Video Mixer IP核

Video Mixer IP核可以對多路視頻進行疊加輸出,為OSD IP的升級版,功能和OSD相似。本例程的作用為,將相機輸入的圖像,通過Mixer IP核疊加到1920*1080的視頻中,最終通過HDMI輸出顯示。需要通過PS端,配置寄存器使能Mixer。   

AXI Uartlite IP核

用于和CameraLink相機通信,PS端可通過該接口對CameraLink相機進行配置(分辨率、Base/Full模式等功能)。具體說明請參考pg142-axi-uartlite.pdf。

Video Timing Controller IP核

Video Timing Controller IP核用于產(chǎn)生分辨率為1080P60的時序,用于HDMI輸出,關(guān)于IP核的詳細技術(shù)說明可以查看《pg016_v_tc.pdf》文檔。雙擊Vivado工程v_tc IP核框圖。在彈出的界面,點擊"Default/Constant",可以看到已將Video Timing Controller IP核配置成1080P60的時序,如下圖所示。

AXI-Stream to video out IP核

AXI-Stream to video out IP核配置視頻格式為RGB,1 pixels per clk,每個色彩數(shù)據(jù)位寬為8bits。關(guān)于IP核的詳細技術(shù)說明可以查看《pg044_v_axis_vid_out.pdf》文檔。雙擊v_axi4s_vid_out IP核框圖,查看IP核具體配置如下圖。

Clocking Wizard IP核

配置一路148.5MHz的時鐘,用于HDMI輸出。該時鐘對應(yīng)的是1080P60的像素時鐘。

若輸入其他分辨率的視頻,則需要修改VDMA IP核中圖像大小的配置、Video Timing Controller IP的時序和Clocking Wizard IP核輸出的像素時鐘。

6.

案例演示

實驗硬件說明

CameraLink相機參考型號:

  • 彩色RS-A5241-CC107-S00,支持Full/Base,分辨率2560*2048,幀率107Hz

  • 黑白RS-A5241-CM107-S00,支持Full/Base,分辨率2560*2048,幀率107Hz

參考下表和圖將相機接到評估板CameraLink接口,顯示器接到HDMI OUT接口。

基于Linux系統(tǒng)測試

評估板上電啟動進入文件系統(tǒng),執(zhí)行如下指令新建一個"/lib/firmware"文件夾。將由Vivado工程編譯生成的xxx.bin文件傳送到評估板文件系統(tǒng)的"/lib/firmware/"目錄下,并重命名為system_wrapper.bin。

參考創(chuàng)龍TLZ7xH-EVM評估板(Z-7045/Z-7100)用戶手冊《生成PL設(shè)備樹及動態(tài)加載PL程序和設(shè)備樹》文檔,生成設(shè)備樹,然后將編譯好的dtbo文件拷貝到文件系統(tǒng)"lib/firmware"目錄下。

Target# mkdir -p /lib/firmware/

HDMI OUT芯片接的是PS端的I2C,需要加載PS端設(shè)備樹,加載成功后如下圖所示。

Target#  mkdir /configfs

Target#  mount -t configfs configfs /configfs

Target#  mkdir /configfs/device-tree/overlays/full

Target#  echo -n zynq-zc706-overlay.dtbo >  /configfs/device-tree/overlays/full/path

再加載PL端程序和設(shè)備樹。可以看到AXI UART對應(yīng)的節(jié)點為/dev/ttyUL1。

Target# mkdir /configfs/device-tree/overlays/cameralink

Target#  echo -n "pl.dtbo" > /configfs/device-tree/overlays/cameralink/path

將例程image目錄下的腳本拷貝到文件系統(tǒng),執(zhí)行腳本進行配置,即可在顯示器看到相機采集的視頻。

Target#  ./camera_init.sh base  //初始化CameraLink相機

Base模式

腳本說明:

Target#  ./sil9022_i2c_1080p.sh  //初始化HDMI OUT

Target#  ./axi_vdma_cameralink.sh  //初始化VDMA

Target#  ./switch_video.sh 1  //配置AXI4-Stream Switch IP核,參數(shù)1為原始圖像

Target# ./mixer_init.sh 1  //初始化Video Mixer IP核,參數(shù)1為原始圖像

Target# ./sobel_filter_init.sh  //初始化Image_filter IP核

Target#  ./mixer_init.sh 2 && ./switch_video.sh 2  //切換到算法處理后的圖像

對于RS-A5241的相機,Base模式下,1280*1024的分辨率狀態(tài)下,圖像幀率有120+幀,所以圖像曝光短,圖像較暗,增大Image_filter IP的閾值可以獲得更好的效果。

Target#  devmem 0x43c000b4 w 0xff  //設(shè)置高閾值為0xff

Target#  devmem 0x43c000bc w 0xa0  //設(shè)置低閾值為0xa0

設(shè)置顏色反轉(zhuǎn)

Target# devmem 0x43c000c4 w 1  //0xc4寄存器設(shè)置為1,邊緣為白色,若為0;邊緣為黑色

7.

資料下載

可點擊以下鏈接或掃描二維碼,獲取TLZ7xH-EVM評估板詳細資料案例源碼。

http://site.tronlong.com/pfdownload






想更多地了解創(chuàng)龍,歡迎與我們聯(lián)系:

銷售郵箱:sales@tronlong.com

技術(shù)郵箱:support@tronlong.com

創(chuàng)龍總機:020-8998-6280

技術(shù)熱線:020-3893-9734

創(chuàng)龍官網(wǎng):www.tronlong.com

技術(shù)論壇:www.51ele.net

官方商城:https://tronlong.taobao.com



創(chuàng)龍官網(wǎng)

創(chuàng)龍微信公眾號

【長按識別二維碼關(guān)注我們】












免責聲明:本文內(nèi)容由21ic獲得授權(quán)后發(fā)布,版權(quán)歸原作者所有,本平臺僅提供信息存儲服務(wù)。文章僅代表作者個人觀點,不代表本平臺立場,如有問題,請聯(lián)系我們,謝謝!

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風險,如企業(yè)系統(tǒng)復雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉