當(dāng)前位置:首頁 > 工業(yè)控制 > 工業(yè)控制技術(shù)文庫
[導(dǎo)讀] MicroBlaze是一款基于構(gòu)造的的嵌入式微處理器,它的顯著優(yōu)勢在于能滿足復(fù)雜應(yīng)用的需求,在除了運行簡單的通用應(yīng)用以外,還能運行操作系統(tǒng)。 設(shè)計人員能夠在當(dāng)前所有的賽靈思架構(gòu)中實施Mi

MicroBlaze是一款基于構(gòu)造的的嵌入式微處理器,它的顯著優(yōu)勢在于能滿足復(fù)雜應(yīng)用的需求,在除了運行簡單的通用應(yīng)用以外,還能運行操作系統(tǒng)。

設(shè)計人員能夠在當(dāng)前所有的賽靈思架構(gòu)中實施MicroBlaze軟處理器,在不同產(chǎn)品系列間實現(xiàn)方便的轉(zhuǎn)換,具有很高的靈活性。但是,MicroBlaze系統(tǒng)設(shè)計不僅要在70多種參數(shù)中選擇,而且還要借助一系列功能強大的嵌入式工具,如果應(yīng)用只需要簡單的微控制器,這種設(shè)計方法反倒不實用。

但是,利用適當(dāng)?shù)募夹g(shù),用戶可以開發(fā)構(gòu)造簡單的預(yù)配置MicroBlaze微控制器,并方便快捷地將其添加到任何FPGA設(shè)計中??蓪⒖刂破鞯膶嵗苯蛹尤際DL。用戶能在標(biāo)準(zhǔn)的FPGA設(shè)計流程中直接使用,無須特殊的腳本或復(fù)雜的步驟。僅需三個文件即可啟動設(shè)計工作,包括兩個硬件實施文件和一個軟件定義文件。這種方法使工程師不用學(xué)習(xí)或者只需很少量的學(xué)習(xí)就能快速啟動FPGA嵌入式設(shè)計工作。

在ISE 11.1中啟動MicroBlaze軟件開發(fā)工作,可使用獨立的軟件開發(fā)套件(SDK)進行C和C++應(yīng)用的創(chuàng)建和調(diào)試,而無須全面的嵌入式開發(fā)套件(EDK)。

微控制器預(yù)配置了兩種選項,UART和調(diào)試。表1顯示了根據(jù)微控制器配置不同而給出的各種FPGA系列的尺寸估算值。此外,Virtex器件使用了兩個Block RAM,而Spartan器件使用四個Block RAM。對應(yīng)用代碼進行調(diào)試后,用戶即可移除調(diào)試選項,以減小控制器的尺寸。例如,Spartan-6微控制器僅需要220個切片。

微控制器概覽

簡單MicroBlaze微控制器包含的組件有32位MicroBlaze處理器、8KB RAM/ROM、帶64KB尋址空間的32位用戶接口、中斷支持、可選UART,以及可選的JTAG調(diào)試接口。圖1顯示了系統(tǒng)方框圖。

圖1 SMM由MicroBlaze處理器、存儲器及接口組成

根據(jù)需要以及實施工具允許的范圍,時鐘輸入可高可低。有效高電平復(fù)位輸入與輸入時鐘內(nèi)部同步。中斷輸入信號可提供中斷支持,微控制器提供服務(wù)時用中斷確認(rèn)輸出進行確認(rèn)。此外,簡單的地址映射用戶接口也同步于時鐘,支持用戶定制。圖2顯示了用戶接口的時序??蓪⒆止?jié)啟用用于字節(jié)和半字事務(wù)處理。

圖2 簡單的地址映射用戶接口同步于時鐘

用于可對16位寬的軟件映射地址總線進行解碼,以將不同的定制接口或外設(shè)連接至微控制器。在插入片選(Chip Select)兩個時鐘周期后對讀取數(shù)據(jù)進行采樣。

一些預(yù)配置的版本可提供串行16450 UART選項。波特率在軟件中進行編程,以保持UART獨立于時鐘輸入。調(diào)試選擇可使用內(nèi)部FPGA資源,并直接連接至FPGA JTAG接口,從而通過常規(guī)FPGA下載線纜實現(xiàn)應(yīng)用調(diào)試。

FPGA設(shè)計流程

FPGA設(shè)計流程遵循如圖3所示的標(biāo)準(zhǔn)ISE FPGA實施流程??稍贔PGA設(shè)計中的任何層級級別上通過Verilog或VHDL創(chuàng)建微控制器實例。使用兩個與硬件相關(guān)的文件微控制器網(wǎng)表(smm.ngc)和Block RAM存儲器映射文件(smm.bmm)即能完成FPGA的實施,用戶既不必費心學(xué)習(xí)新的工具,也不用使用復(fù)雜的腳本流程。FPGA嵌入式設(shè)計從未如此的簡單易行。微控制器配置之間的切換非常簡單,只需替換所需的網(wǎng)表文件,然后重新實施FPGA即可。圖3 FPGA設(shè)計流程遵循標(biāo)準(zhǔn)的ISE FPGA實施流程,無須新工具或腳本運行實施工具后,將額外生成一個文件,指示微控制器所使用(smm_bd.bmm)的Block RAM的物理位置。

軟件應(yīng)用設(shè)計流程

單個軟件描述文件(smm.xml)包含了啟動微控制器應(yīng)用開發(fā)工作所需的全部信息。開發(fā)可獨立于FPGA設(shè)計流程進行,甚至在任何FPGA設(shè)計實施之前就能啟動。

從ISE 11.1開始,SDK作為獨立選項提供,其包含完成軟件應(yīng)用設(shè)計所需的全部工具、驅(qū)動器、程序庫以及實用程序等。

圖4 顯示了以軟件定義文件開始的標(biāo)準(zhǔn)的SDK開發(fā)流程。微控制器的地址空間包括8KB的RAM與用戶接口,在選擇UART選項的情況下,還包括UART寄存器空間。

圖4 SDK開發(fā)流程始于軟件定義文件

設(shè)計實例

下面介紹一個LCD控制器參考設(shè)計實例,這種設(shè)計綜合采用了簡單MicroBlaze微控制器的各種特性。LCD控制器非常適用于小型微控制器實施,因為其硬件接口較慢,也比較簡單,初始化序列較長,而且需要大量字符代碼。

通過綜合采用HDL和C代碼,設(shè)計可將消息輸出至電路板上的字符LCD屏。

HDL可處理硬件接口,而軟件則負(fù)責(zé)初始化和控制LCD屏。

LCD模塊的時序較慢,但同時需要指令或數(shù)據(jù)之間的較大延遲。例如,清空顯示的指令需要1.52ms的延遲,然后才能發(fā)出下一個指令或數(shù)據(jù)。部分指令需要40μs的延遲,其他的延遲則需要1μs。

我們可在C語言代碼中用while回路來處理延遲問題,不過這樣做不夠準(zhǔn)確,而且還會影響編譯器的優(yōu)化。更好的選擇是在FPGA中創(chuàng)建軟件可載入的32位計數(shù)器,以便在到達編程的延遲時觸發(fā)控制器中斷。

MicroBlaze寫入地址0x10,以根據(jù)用戶接口數(shù)據(jù)總線上的數(shù)據(jù)啟動定時器。MicroBlaze隨后將等待中斷,以繼續(xù)執(zhí)行。

MicroBlaze寫入用戶接口地址0x0會觸發(fā)LCD控制器硬件接口,硬件接口的時序由HDL處理。用戶接口數(shù)據(jù)總線可捕獲指令或數(shù)據(jù)值。按鈕輸入能夠連接至用戶接口地址0x20。

FPGA設(shè)計包括頂級模塊、LCD硬件時序模塊以及軟件可尋址可編程定時器。此外,文件還包括簡單MicroBlaze微控制器的示例,運行頻率為66MHz。

C語言應(yīng)用包含在單個文件中。該代碼不僅可實現(xiàn)MicroBlaze中斷、初始化LCD屏幕、管理不同的延遲情況、打印雙線LCD、等待按鈕輸入,同時還可清空屏幕并輸出新的消息。

微控制器的定制

由于微控制器采用MicroBlaze構(gòu)建而成,因此設(shè)計人員將能獲得許多標(biāo)準(zhǔn)的外設(shè)和選項,以定制嵌入式系統(tǒng)。用戶可能希望部署不同的FPGA架構(gòu)或添加更多主存儲器、浮點單元或標(biāo)準(zhǔn)的SPII2C外設(shè)。

定制既定的系統(tǒng)需要EDK。它包括眾多作為嵌入式項目的不同配置,可根據(jù)用戶要求進行修改。例如,如果需要16KB的存儲器而不是標(biāo)準(zhǔn)的8KB存儲器,那么用戶可以打開EDK項目,修改MicroBlaze RAM空間并生成新的網(wǎng)表、Block RAM存儲器和軟件描述文件。用戶隨后即可將新的文件添加至ISE和SDK項目中。

誠然,雖然簡單的MicroBlaze微控制器不能滿足所有嵌入式設(shè)計的需要,但對于需要簡單微控制器來高效提供控制功能的用戶來說確實是非常好的選擇。此外,其還為希望共享和發(fā)布EDK設(shè)計的團隊提供了一種定則,那就是無論嵌入式設(shè)計的尺寸大小,僅需三個文件就能完成整個實施工作。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉