當(dāng)前位置:首頁 > 嵌入式 > 嵌入式硬件
[導(dǎo)讀]0 引言 在 光伏并網(wǎng)系統(tǒng)的逆變器電路中,對電網(wǎng)電壓的鎖相是一項(xiàng)關(guān)鍵技術(shù)。由于電力系統(tǒng)在工作時(shí)會產(chǎn)生較大的電磁干擾,因此,其簡單的鎖相方法很容易受到干擾而失 鎖,

0 引言

在光伏并網(wǎng)系統(tǒng)的逆變器電路中,對電網(wǎng)電壓的鎖相是一項(xiàng)關(guān)鍵技術(shù)。由于電力系統(tǒng)在工作時(shí)會產(chǎn)生較大的電磁干擾,因此,其簡單的鎖相方法很容易受到干擾而失鎖,從而導(dǎo)致系統(tǒng)無法正常運(yùn)行。在這種情況下,設(shè)計(jì)采用對電網(wǎng)電壓進(jìn)行過零檢測后再將信號送人CPLD,然后由CPLD實(shí)現(xiàn)對電網(wǎng)電壓進(jìn)行數(shù)字鎖相的方法,可以有效地防止相位因干擾而發(fā)生抖動或者失鎖的現(xiàn)象,保證系統(tǒng)的正常運(yùn)行。另外,本系統(tǒng)還使用CPLD對DSP產(chǎn)生的PWM波控制信號和系統(tǒng)運(yùn)行時(shí)的各項(xiàng)參數(shù)進(jìn)行監(jiān)控,一旦發(fā)現(xiàn)異常,立即使系統(tǒng)停機(jī),并通知DSP發(fā)生異常,從而實(shí)現(xiàn)了對系統(tǒng)的硬件保護(hù)。

1 系統(tǒng)整體結(jié)構(gòu)組成

本文所介紹的設(shè)計(jì)方法是5 kW光伏并網(wǎng)發(fā)電系統(tǒng)中逆變器的一部分,該光伏并網(wǎng)逆變器可實(shí)現(xiàn)額定功率為5 kW的太陽能電池陣列的最大功率跟蹤與并網(wǎng)輸出。其逆變器的系統(tǒng)結(jié)構(gòu)圖如圖1所示。

 

本控制系統(tǒng)由TI DSP2812作為主控芯片,Xilinx CPLD XC9572XL用作數(shù)字鎖相與保護(hù)電路,XC9572XL為3.3 V內(nèi)核電壓的CPLD,它由4個(gè)54V18功能模塊組成,可提供1600個(gè)5 ns延遲可用門。

2 數(shù)字鎖相電路的設(shè)計(jì)與實(shí)現(xiàn)

數(shù)字鎖相電路的系統(tǒng)結(jié)構(gòu)圖如圖2所示。該電路由數(shù)字鑒相器、數(shù)字濾波器和數(shù)控振蕩器組成。

 

如果把圖2所示的數(shù)字鎖相電路中的數(shù)字濾波器看成一個(gè)分頻器,則其分頻比為Mfc/K,此時(shí)的輸出頻率為:

f‘=K‘△φMfc/K

其中,△φ為輸入信號V1與輸出信號V2的相位差;fc為環(huán)路的中心頻率。那么,該數(shù)控振蕩器的輸出頻率為:

f2=f1+K‘△φMfc(kN)

由于鎖定的極限范圍為K‘△φ=±1,所以,可得到環(huán)路的捕捉帶:

△fmax=f2max-f1=Mfc(kN)

這樣,當(dāng)環(huán)路鎖定時(shí),f2=f1其系統(tǒng)穩(wěn)態(tài)相位誤差為:

△φ(∞)=NK(f2-f1)/(k‘Mfc)

可見,只要合理選擇K值,就能使輸出信號V2的相位較好地跟蹤輸入V1的相位,從而達(dá)到鎖定之目的。如果K值選的太大,環(huán)路捕捉帶就會變小,這將導(dǎo)致捕捉時(shí)間增大;而如果K直太小,則可能會出現(xiàn)頻繁進(jìn)位,借位脈沖。從而使相位出現(xiàn)抖動。

根據(jù)圖2給出的數(shù)字鎖相環(huán)的原理框圖,可用VHDL語言分別對該系統(tǒng)進(jìn)行設(shè)計(jì)。其中數(shù)字濾波器由K模計(jì)數(shù)器組成,數(shù)控振蕩器包括脈沖加,減控制電路和N分頻器等。

2.1 數(shù)字鑒相器

數(shù)字鑒相器通??蛇x用邊沿控制型鑒相器、異或門鑒相器、同或門鑒相器或JK觸發(fā)器組成的鑒相器等。本數(shù)字鑒相器是一個(gè)相位比較裝置,主要通過比較輸入信號 V1(相位φ1)與輸出信號V2(相位φ2)的相位來產(chǎn)生一個(gè)誤差信號Vd,其相位差為△φ=φ1-φ2。當(dāng)△φ=φe(輸入信號脈寬的一半)時(shí),其鑒相器輸出為方波,屬于相位鎖定階段。在這種情況下,只要可逆計(jì)數(shù)器的K值足夠大,其輸出端就不會產(chǎn)生進(jìn)位脈沖或借位脈沖。在環(huán)路未鎖定時(shí),若 △φ<φe,其輸出脈沖的占空比小于50%;而當(dāng)△φ>φe,其占空比大于50%,該輸出電壓Vd將加到K??赡嬗?jì)數(shù)器的UPDN輸入端。

2.2 數(shù)字濾波器

計(jì)數(shù)器可設(shè)計(jì)成一個(gè)17位可編程(可變模數(shù))可逆計(jì)數(shù)器,計(jì)數(shù)范圍為23~217,可由外部置數(shù)DCBA控制。其輸入頻率fk=Mfc。當(dāng)鑒相器輸出Vd為高電平時(shí),K模計(jì)數(shù)器進(jìn)行減計(jì)數(shù),計(jì)數(shù)到“0”時(shí),輸出一個(gè)借位脈沖DN;而當(dāng)鑒相器輸出Vd為低電平時(shí),K計(jì)數(shù)器進(jìn)行加計(jì)數(shù),當(dāng)計(jì)數(shù)到某一設(shè)定值 “DCBA”時(shí),將輸出一個(gè)進(jìn)位脈沖UP。UP和DN可作為脈沖加/減電路的“加”和“扣”脈沖控制信號。

2.3 數(shù)控振蕩器

本電路由D觸發(fā)器、JK觸發(fā)器和與門、或門等電路組成。當(dāng)數(shù)字濾波器UP輸出端輸出一個(gè)進(jìn)位脈沖時(shí),系統(tǒng)便在INC下降沿到來后,在脈沖加/減電路的輸出端 fout插入一個(gè)脈沖信號,也就是使相位提前半個(gè)周期;反之,當(dāng)數(shù)字濾波器DN端輸出一個(gè)借位脈沖時(shí),在DN下降沿到來后,系統(tǒng)就會在脈沖加/減電路的輸出序列中扣除一個(gè)脈沖信號,也就是使相位滯后半個(gè)周期,且這個(gè)過程是連續(xù)發(fā)生的。這樣,脈沖加,減電路的輸出經(jīng)N分頻器模塊(ncount)分頻后,即可使輸出信號的相位接受調(diào)整控制,最終達(dá)到鎖定。當(dāng)環(huán)路鎖定后,輸出與輸入信號之間會存在一定的相位誤差。

3 保護(hù)電路的設(shè)計(jì)與實(shí)現(xiàn)

本系統(tǒng)中的保護(hù)電路主要由PWM波形監(jiān)視模塊和系統(tǒng)參數(shù)監(jiān)視模塊組成,其保護(hù)電路結(jié)構(gòu)如圖3所示。

 

圖 3中的脈寬異常檢測模塊由3個(gè)9位使能計(jì)數(shù)器組成,DSP輸出的三路PWM信號分別作為計(jì)數(shù)器的使能信號輸入。當(dāng)控制信號有效時(shí),計(jì)數(shù)器開始計(jì)數(shù),計(jì)數(shù)器的上限值為400,即200μs,當(dāng)控制信號的有效寬度小于200 μs時(shí)(在本系統(tǒng)中DSP的控制周期為55μs),即認(rèn)為該P(yáng)WM波正常,系統(tǒng)會將控制信號直接輸出;如果大于200μs,則認(rèn)為PWM波出現(xiàn)異常,此時(shí)系統(tǒng)將立即切斷PWM波的有效輸出而停機(jī).并把異常中斷信號和異常狀態(tài)碼信息報(bào)告給DSP。共態(tài)導(dǎo)通模塊可用于監(jiān)視逆變器系統(tǒng),從而控制半橋高低端的兩路對稱SPWM波信號,保證這兩路信號輸出不會出現(xiàn)共態(tài)導(dǎo)通的情況。另外,由模擬比較器產(chǎn)生的系統(tǒng)過電壓、過電流和溫度異常等報(bào)警信號,經(jīng)過數(shù)字濾波后,將送人PWM波處理模塊。這樣,在系統(tǒng)出現(xiàn)異常時(shí),即可由CPLD實(shí)現(xiàn)硬件上的停機(jī)保護(hù)動作。

圖4所示是保護(hù)電路的系統(tǒng)頂層圖。圖5所示是該保護(hù)電路的仿真波形。

 

 

[!--empirenews.page--]

4 結(jié)束語

本文介紹了基于CPLD的光伏并網(wǎng)逆變器的鎖相及保護(hù)電路的設(shè)計(jì)與實(shí)現(xiàn)方法,該電路目前已經(jīng)在項(xiàng)目組的5 kW光伏并網(wǎng)逆變器中成功運(yùn)用,實(shí)際使用證明,該電路可為系統(tǒng)的長時(shí)間穩(wěn)定運(yùn)行提供可靠的保障。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉