當(dāng)前位置:首頁 > 模擬 > 模擬
[導(dǎo)讀]MathWorks今日發(fā)布了HDL Verifier中的新功能,用來加快 FPGA 在環(huán)(FIL)驗(yàn)證。利用新的 FIL 功能,可以更快地與 FPGA 板通信,實(shí)現(xiàn)更高的仿真時(shí)鐘頻率。

MathWorks今日發(fā)布了HDL Verifier中的新功能,用來加快 FPGA 在環(huán)(FIL)驗(yàn)證。利用新的 FIL 功能,可以更快地與 FPGA 板通信,實(shí)現(xiàn)更高的仿真時(shí)鐘頻率?,F(xiàn)在,系統(tǒng)工程師和研究人員可以自信地快速確認(rèn)和驗(yàn)證 FPGA 設(shè)計(jì)在系統(tǒng)中按預(yù)期方式工作,從而節(jié)省開發(fā)時(shí)間。

隨著信號(hào)處理、視覺影像處理和控制系統(tǒng)算法的復(fù)雜度不斷增加,在 FPGA 板上對(duì)硬件實(shí)現(xiàn)進(jìn)行仿真,可以幫助驗(yàn)證設(shè)計(jì)在其系統(tǒng)環(huán)境中的工作情況。用于 FIL 驗(yàn)證的 HDL Verifier 自動(dòng)設(shè)置 MATLAB 和 Simulink 測(cè)試環(huán)境,并將其與運(yùn)行于 FPGA 開發(fā)板上的設(shè)計(jì)相連接。這有助于實(shí)現(xiàn)在實(shí)際硬件上運(yùn)行的 FPGA 設(shè)計(jì)的高逼真度協(xié)同仿真,同時(shí)復(fù)用開發(fā)階段使用的測(cè)試環(huán)境。

R2016b 版允許工程師為其 FPGA 系統(tǒng)時(shí)鐘指定一個(gè)自定義頻率,時(shí)鐘頻率可比以前使用 FIL 的時(shí)候快五倍。對(duì)于在以 FPGA 為目標(biāo)時(shí)使用超頻因子的設(shè)計(jì),如控制應(yīng)用程序,可以使用較大的數(shù)據(jù)輸出規(guī)模來提高吞吐量。工程師現(xiàn)在還可以利用 FIL(使用 PCI Express 接口)來加快 MATLAB 和 Simulink 以及 Xilinx KC705/VC707 和 Intel Cyclone V GT/Stratix V DSP 開發(fā)板之間的通信,仿真速度比千兆以太網(wǎng)快 3-4 倍。

“隨著電子系統(tǒng)日益復(fù)雜,作為驗(yàn)證步驟,精確地驗(yàn)證設(shè)計(jì)原型變得至關(guān)重要。” MathWorks 的產(chǎn)品經(jīng)理 Jack Erickson 說,“現(xiàn)在,HDL Verifier 允許工程師在真實(shí)硬件上以現(xiàn)實(shí)的時(shí)鐘頻率快速運(yùn)行設(shè)計(jì),能夠從MATLAB/Simulink這樣方便的算法開發(fā)環(huán)境進(jìn)行FPGA在環(huán)仿真,使硬件設(shè)計(jì)驗(yàn)證大幅簡(jiǎn)化。”

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉